ePrints@IIScePrints@IISc Home | About | Browse | Latest Additions | Advanced Search | Contact | Help

Items where Year is 2007

Up a level
Export as [feed] Atom [feed] RSS 1.0 [feed] RSS 2.0
Group by: Creators Name | Item Type | No Grouping
Number of items: 1845.

Book

Ramachandra, TV and Chanran, Subhash MD and Gururaja, KV and Sreekantha, * (2007) Cumulative Environmental Impact Assessment. Nova Science Publications.

Sameer, Ali and Rao, GR and Divakar, Mesta K and Sreekantha, * and Vishnu, Mukri D and Chandran, Subash MD and Gururaja, KV and Joshi, NV and Ramachandra, TV (2007) Ecological Status of Sharavathi Valley Wildlife Sanctaury. Sahyadri Conservation Series-1 . Prism Chennai.

Book Chapter

Gadagkar, R (2007) Foreword - The Evolution of Social Wasps by J.H.Hunt. Oxford University Press, Oxford. [Book Chapter]

Khetrapal, CL (2007) Khetrapal, C. L.: Development of NMR of Oriented Systems. [Book Chapter]

Ramachandra, TV (2007) Environment Education for Ecosystem Conservation. [Book Chapter]

Conference Proceedings

Bhalgat, Anand and Hariharan, Ramesh and Kavitha, Telikepalli and Panigrahi, Debmalya (2007) An (O)over-tilde(mn) Gomory-Hu Tree Construction Algorithm for Unweighted Graphs. In: ACM, Jun 11-13, San Diegeo, pp. 605-614.

Choudhuri, Arnab Rai (2007) An elementary introduction to solar dynamo theory. In: Kodai School on Solar Physics, DEC 10-22, 2006, Kodaikanal, INDIA.

Gupta, VH and Gopinath, K (2007) G(its)(2) VSR: An Information Theoretic Secure Verifiable Secret Redistribution Protocol for Long-term Archival Storage. In: Fourth International IEEE Security In Storage Workshop, 27, San Diego, pp. 22-33.

Okajima, S and Takahashi, S and Zushi, O and Ito, M and Lal, A (2007) Experimental investigation on combustion promotion of single fuel droplets by utilization of electro-magnetic energy in the range of infrared ray. In: 6th Asia-Pacific Conference on Combustion, ASPACC 2007, 20 May 2007- 23 May 2007, Nagoya.

Okajima, S and Takahashi, S and Zushi, O and Ito, M and Lal, A (2007) Experimental investigation on combustion promotion of single fuel droplets by utilization of electro-magnetic energy in the range of infrared ray. In: 6th Asia-Pacific Conference on Combustion, 20-23 May 2007, Nagoya.

Sain, T and Kishen, JM Chandra (2007) Assessment of the residual fatigue strength in RC beams. In: 6th International Conference on Fracture Mechanics of Concrete and Concrete Structures, JUN 17-22, 2007, Catania, ITALY.

Suri, N Rama and Srinivas, VS and Murty, M Narasimha (2007) A cooperative game theoretic approach to prototype selection. In: 18th European Conference on Machine Learning (ECML 2007)/11th European Conference on Principles and Practice of Knowledge Discovery in Databases (PKDD 2007), SEP 17-21, Warsaw Univ, Warsaw, POLAND.

Conference Paper

Abdulla, Mohammed Shahid and Bhatnagar, Shalabh (2007) Network flow-control using asynchronous stochastic approximation. In: 46th IEEE Conference on Decision and Control, DEC 12-14, 2007, New Orleans, LA.

Abdulla, Mohammed Shahid and Bhatnagar, Shalabh (2007) Parametrized actor-critic algorithms for finite-horizon MDPs. In: American Control Conference 2007, JUL 09-13, 2007, New York,.

Abdulla, Mohammed Shahid and Bhatnagar, Shalabh (2007) Solving MDPs using two-timescale simulated annealing with multiplicative weights. In: American Control Conference 2007, JUL 09-13, 2007, New York, NY.

Adimurthi, * and Mishra, Siddhartha and Gowda, Veerappa GD (2007) Conservation law with the flux function discontinuous in the space variable- II - Convex-concave type fluxes and generalized entropy solutions. In: 1st Indo/Germany Conference on PDE, Scientific Computing and Optimization in Applications, SEP 08-10, 2004, Univ Trier.

Agarwal, Tarun and Venkatesan, NE and Sasanapuri, Mohan Rao and Vijay Kumar, P (2007) Intruder detection over sensor placement in a hexagonal lattice. In: Proceedings 10th International Symposium on Wireless Personal Multimedia Communications (WPMC' 07), Jaipur, Dec.2007 , Jaipur.

Agilan, S and Venkatachalam, S and Mangalaraj, D and Narayandass, Sa K and Velumani, S and Rao, Mohan G and Singh, Vijay P (2007) Structural and photoelectrical characterization of hot wall deposited CuInSe2 thin films and the fabrication of CuInSe2 based solar cells. In: Symposium on Materials Characterization held at the 14th International Materials Research Congress, AUG 21-25, 2005, Cancun, MEXICO.

Agnihotri, Samar and Nuggehalli, Pavan and Rao, Ramesh R (2007) Enhancing sensor network lifetime using interactive communication. In: IEEE International Symposium on Information Theory, JUN 24-29, 2007, Nice.

Agrawal, M and Ananthasuresh, GK (2007) On Including Manufacturing Constraints in the Topology Optimization of Surface-Micromachined Structures. In: 7th World Congress of Structural and Multidisciplinary Optimization, COEX Seoul, , 21-25 May, 2007, South Korea.

Ahmad, Imteyaz and Prasad, Nitin Rakesh and Omkar, SN and Rajan, K (2007) Quantification of impact of a cricket ball. In: International Conference on Advanced Computing and Communications, DEC 20-23, 2006, Surathkal.

Ail, Ujwala and Shivshankar, SA and Umarji, AM (2007) ZnO thin films deposited by nebulised spray Pyrolysis as gas sensors. In: IUMRS-ICAM 2007 , 2007.

Akhil, KR and Ghose, D (2007) Deterministic decoy deployment tactics to enhance ship survivability. In: Proceedings of International Conference on Advances in Control and Optimization of Dynamical Systems (ACODS2007), Bangalore, India, February 2007, Bangalore.

Akhtar, A and Ganguli, R (2007) A Dragonfly Inspired Micro Scale Flapping Wing for Micro Air Vehicle Application. In: International Conference on Theoretical, Computational and Experimental Mechanics, 27-29 December 2007, IIT Kharagpur.

Alam, M and Sarma, VVS (2007) Optimal maintenance policy of a machine subject to failure. In: 1973 IEEE Conference on Decision and Control including the 12th Symposium on Adaptive Processes, Dec. 1973.

Ali, SKF and Ramaswamy, A (2007) GA Optimized Semi-Active Adaptive Fuzzy Logic Control for Stay Cable Vibration. In: Proceedings of 3rd Structural Engineers World Congress, 2nd -7th November 2007.

Ali, SKF and Ramaswamy, A (2007) Semi-active Base isolation System for Buildings using MR Dampers. In: Proceedings of the World Forum on Smart Materials and Smart Structures Technology (SMSST), Chongqing and Nanjing,, 22nd -27th May, 2007, China.

Alle, Mythri and Biswas, J and Nandy, SK (2007) High performance VLSI implementation for H.264 Inter/Intra prediction. In: International Conference on Consumer Electronics:ICCE 2007, Digest of Technical Papers, 10-14 January 2007, Las Vegas, NV, pp. 1-2.

Amruth, P and Krishnanand, KN and Ghose, D (2007) Glowworms-inspired multirobot system for multiple source localization tasks. In: Proceedings of Workshop on Multi-robot Systems for Societal Applications, International Joint Conference on Artificial Intelligence (IJCAI '07), January 2007.

Amruth, P and Krishnanand, KN and Ghose, D (2007) Study of capturability of TPN guidance law with estimator time constant in loop. In: Proceedings of International Conference on Advances in Control and Optimization of Dynamical Systems (ACODS2007), Bangalore, India, February 2007, Bangalore.

Anand, M and Kumar9, P Vijay (2007) Low correlation sequences over AM-PSK and QAM alphabets. In: IEEE International Symposium on Information Theory, JUN 24-29, 2007, Nice.

Ananthasuresh, GK (2007) Topology Optimization of Microsystems Devices Including Micromachining Constraints. In: 10th International Conference on Advanced Materials,, 8-13 October, 2007, Bangalore.

Anantheshwara, K and Bobji, MS (2007) Frictional Behaviour of Inertial Slider. In: Procedings of NCRSME07, , 2007, Kanpur, India.

Anbazhagan, P and Babu, Sivakumar GL and Ramulu, M and Sitharam, TG (2007) Evaluation of Response of Motion Simulator Foundations and Vibration Sensitivity Analysis for a Passive Source. In: 6th Int. Conf. on Earthquake Resistant Engg. Structures, Bologna, Italy, 2007, Bologna, Italy.

Anbazhagan, P and Sitharam, TG and Divya, C (2007) Site Response Analyses based on Site Specific Soil Properties using Geotechnical and Geophysical tests: Correlations between Vs30, Gmax and N60. In: 4th Int. Conf. on Earthquake Geotechnical Engg., Thessaloniki, Greece, June 25-28, 2007, Greece.

Anil, N and Rajan, NKS and Reshi, Omesh and Deshpande, SM (2007) Adjoint based optimal control of dissipation in kinetic schemes. In: 7th Asian Computational Fluid Dynamics (ACFD) Conference, Nov 26-30, 2007, IISc, Bangalore.

Anoop, KP and Rajgopal, K (2007) Estimation of missing data using windowed linear prediction in laterally truncated projection & in cone beam CT. In: 29th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2007. EMBS 2007., 22-26 Aug. 2007 , Lyon.

Anoop, MB and Lakshmanan, N and Raghuprasad, BK and Rao, Balagi K (2007) Performability analysis for selecting the optimal maintenance scheme for reinforced concrete bridge girders in corrosive environments. In: 11th International conference on Inspection, appraisal, Repairs and maintenance of structures, North, 14-17th November 2007, North.

Anoop, MB and Rao, Balaji K and Raghuprasad, BK and Lakshmanan, N (2007) Detection of corrosion initiation in reinforced concrete structures using on-line monitoring data. In: Structural Engineers World Congress 2007 (SEWC 2007), 2-7 th November 2007.

Anuradha, NS (2007) Revisiting Globalization In the Indian context - A study of the culture of consumers and consumers of culture - initial insights into a study of HQEs in India. In: Paper presented at the Workshop on "Globalizing lifestyles between McDonaldization and sustainability perspectives The case of the New Middle Classes" at the artec Institute, University of Bremen, , 4-5 October, 2007, Germany.

Arju, Nihal and Venkataraman, V (2007) Investigation on Growth of Bismuth Films by Thermal Vapour Deposition. In: 52nd DAE Solid State Physics Symposium, 27-31 December 2007, Mysore.

Arora, Rajesh Kumar and Bhat, Seetharama M and Adimurthy, V (2007) A Robust Guidance Algorithm for the Ascent Flight of a RLV. In: Advances in Control and Optimization of Dynamic Systems, ACODS' 2007, ISRO-IISc Space Technology Cell, Aerospace Engineering, February 2007, IISc, Bangalore.

Arun, KR and Rao, Raghurama SV and Lukacova, Medvidova M and Phoolan, Prasad (2007) A Genuinely Multi-dimensional Relaxation Scheme for Hyperbolic Conservation Laws. In: 7th ACFD Conference Bangalore, November 26-30, 2007, J. N. Tata Auditorium, IISc Bangalore.

Arvind, KR and Kumar, Jayant and Ramakrishnan, AG (2007) Entropy based Skew correction of document images. In: 2nd International Conference on Pattern Recognition and Machine Intelligence, DEC 18-22, 2007, Calcutta.

Arvind, KR and Kumar, Jayant and Ramakrishnan, AG (2007) Line removal and restoration of handwritten strokes. In: 7th International Conference on Computational Intelligence and Multimedia Applications, DEC 13-15, 2007, Sivakasi, Tamil Nadu.

Arya, Ved prakash and Prasad, V (2007) Preparation of multiwalled carbon nanotubes on various substrates by pyrolysis assisted CVD. In: Tp-160 Intl Conf.on Condensed Matter Physics (ICCMP 2007), 25-28 Nov. 2007, Jaipur.

Arya, Ved prakash and Prasad, V (2007) Synthesis of multi-walled carbon nanotubes by simple pyrolysis. In: National workshop on Recent Trends in Nanotechnology (RTN-07) , March 29-31, 2007, Indore.

Asharaf, S and Murty, M Narasimha and Shevade, SK (2007) Cluster based training for scaling non-linear support vector machines. In: International Conference on Computing - Theory and Applications, MAR 05-07, 2007, Kolkata.

Asharaf, S and Narasimha Murty, M and Shevade, SK (2007) Multiclass Core Vector Machine. In: International Conference on Machine Learning (ICML-07), 2007, June 2007, New York, NY.

Atreya, Hanudatta S and Szyperski, Thomas (2007) G-matrix Fourier transform (GFT) NMR: A Breakthrough in NMR spectroscopy for rapid data collection. In: Annual NMRS symposium (2007), Pune, Feb, 4-8, 2007, Pune.

Auchter, Joseph and Moore, Carl and Ghosal, Ashitava (2007) Modeling of wheeled mobile robots using dextrous manipulation kinematics. In: Proceedings of the World Congress on Engineering and Computer Science 2007,WCECS 2007, October 24-26, 2007, San Francisco.

Azimonte, C and Granado, E and Cezar, JC and Gopalakrishnan, J and Ramesha, K (2007) Investigation of the local Fe magnetic moments at the grain boundaries of the Ca2FeReO6 double perovskite. In: 10th Joint Magnetism and Magnetic Materials Conference/International Magnetics Conference, JAN 07-11, 2007, Baltimore.

Babaria, Rashmin and Saketha Nath, J and Krishnan, S and Sivaramakrishnan, KR and Bhattacharyya, Chiranjib and Murty, MN (2007) Focussed Crawling with large scale Ordinal Regression Solvers. In: ICML '07 Proceedings of the 24th international conference on Machine learning , New York, NY.

Babu, RV and Makur, Anamitra (2007) Kernel-based spatial-color modeling for fast moving object tracking. In: 32nd IEEE International Conference on Acoustics, Speech and Signal Processing, APR 15-20, 2007, Honolulu, HI.

Babu, Sivakumar GL and Vasudevan, AK (2007) Effect of Coir Fibres on Engineering Properties of Compacted Soil. In: Int. Symp. on Earthen Structures, Bangalore, 2007, Bangalore.

Baishya, Bikash and Mavinkurve, Raghav G and Suryaprakash, N (2007) 13C-1H HSQC Experiment of Probe Molecules Aligned in Thermotropic Liquid Crystals: Sensitivity and Resolution Enhancement in the Indirect Dimension. In: 13th National Magnetic Resonance Society Meeting, NCL Pune , Feb 5-8, 2007, Pune.

Baishya, Bikash and Suryaprakash, N (2007) Reducing the spectral complexity in isotropic and oriented phases by spin state selective excitation of single quantum transitions - an Aid to Analyse Complex Proton NMR Spectra. In: 13th National Magnetic Resonance Society Meeting, NCL Pune, Feb 5-8, 2007, Pune.

Baishya, Bikash and Suryaprakash, N (2007) Spin State Selective Excitation of Single Quantum Transitions Using Multiple Quantum Spectroscopy- an Aid to Analyse Complex Proton NMR spectra of Oriented Molecules. In: 13th National Magnetic Resonance Society Meeting, NCL Pune , April 26, 2007, Pune.

Balachandra, P (2007) Energy and Poverty Linkages in the Pacific Island Countries - Policy Implications for the Achievement of the MDGs. In: Sub-regional MDG-based Workshop for Melanesian Countries, , 12-15 November 2007, Honiara Solomon Islands.

Balachandra, P (2007) IT Software and Services Sector: Where does India Stand? A Comparison with China and Europe. In: FASSBINDER Second International Workshop, Hotel Grand Ashok, , 31 October 2007, Bangalore, India.

Balachandra, P (2007) India's IT Software and Services Sector - Linking the past Economic and Market Trends to the Future Vision. In: FASSBINDER First International Workshop, , 06 September 2007, Beijing, China.

Balaji, G and Ananthasuresh, GK (2007) Flow-rate Measurements of an Electro-Magnetically Actuated, Miniature, Self-priming Liquid Pump. In: flotec.g Global Conference and Exhibition 2007, Towards Intelligent Flow Measurement & Control System, , 26-28, Sep., 2007, Palakkad, India.

Balakrishnan, PS and Vasanta, KB and Malini, A and Mahesh, GV (2007) Printed Wiring Board (PWB) Miniaturization by Embedded Passives and Sequential Build-Up (SBU) Process Methodology using Microvia Interconnects. In: Proceedings of the Conference on Advances in Space Science and Technology (CASST-07) Proceedings of the Conference on Advances in Space Science and Technology (CASST-07), Bangalore, January 29-31, 2007, Bangalore.

Bandyopadhyay, Sandipan and Ghosal, Ashitava (2007) Classical screw theory: A fresh look using dual eigenvalue approach. In: 13th National Conference on Mechanisms and Machines (NaCoMM07),, December 12-13, 2007, IISc, Bangalore.

Bandyopadhyay, Sandipan and Ghosal, Ashitava (2007) An algebraic formulation of exact force-, moment-isotropy in spatial parallel manipulators. In: 12th IFToMM World Congress, June 18-21, 2007, Besancon.

Basha, Munwar B and Babu, Sivakumar GL (2007) Reliability based design optimization of gravity retaining walls. In: Probabilistic Applications in Geotechnical Engineering (GSP 170), 2007.

Basha, Munwar B and Babu, Sivakumar GL (2007) Target reliability based design optimization of cantilever retaining walls. In: 13th Asian Regional Conf. on Soil Mech. and Geotechnical Engg., Kolkata.

Baskar Rao, M and Bhat, MR and Murthy, CRL (2007) Vibration-Based Damage Detection In Composite Structures Using Fiber Optic Sensors. In: National seminar on Non-Destructive Evaluation NDE-2007, Nov 28-30 2007, Vadodara.

Behera, Upendra and Nadig, DS and Narasimham, GSVL and Kumar, Dinesh and Karunanithi, R and Jacob, S (2007) Design and development of cryogenic loop heat pipe. In: Cryogenic engineering conference and international cryogenic materials conference , July 2007, Chattanooga, USA.

Bhalgat, Anand and Hariharan, Ramesh and Kavitha, Telikepalli and Panigrahi, Debmalya (2007) An O(mn) Gomory-Hu Tree Construction Algorithm for Unweighted Graphs. In: STOC '07 Proceedings of the thirty-ninth annual ACM symposium on Theory of computing, New York, NY.

Bhat, KN and Naseer, Babu P (2007) 'Wet N2O oxidation' process and interface state density characterization of nanoscale nitrided SiO2 for flash memory application. In: 14th International Workshop on the Physics of Semiconductor Devices, DEC 17-20, 2007, Mumbai.

Bhat, Navakanta and Venkatesh, C (2007) Impact of Beam Dimensions on Torsional Varactor. In: International Conference on Advanced Materials (ICAM), IUMRS, Bangalore, Oct.2007, Bangalore.

Bhatnagar, Shalabh and Sutton, Richard S and Ghavamzadeh, Mohammad and Lee, Mark (2007) Incremental natural-gradient actor-critic algorithms. In: Proceedings of 21st Annual Conference on Neural Information Processing Systems (NIPS-2007), Vancouver, Canada,, Dec. 2007, Vancouver, Canada.

Bhattacharya, Sourangshu and Bhattacharyya, Chiranjib and Chandra, Nagasuma (2007) Structural Alignment based Kernels for Protein Structure Classification. In: ICML '07 Proceedings of the 24th international conference on Machine learning, New York, NY.

Bhavikatti, Shivanand and Bhat, MR and Murthy, CRL (2007) Fatigue Threshold Measurement In Ti-6al-4v Using Acoustic Emission. In: National seminar on Non-Destructive Evaluation NDE-2007, Nov 28-30 2007, Vadodara.

Bhavikatti, Shivanand and Ranganath, R and Ghosal, A (2007) A near-singular, flexure jointed, moment sensitive Stewart platform based fore-torque sensor. In: 13th National Conference on Mechanisms and Machines (NaCoMM07, December 12-13, 2007, IISc, Bangalore.

Bidargaddi, SV and Krishnanand, KN and Ghose, D (2007) Gloswarm and TracBot: A distributed heterogenous mobile robot system. In: Proceedings of the Conference on Advances in Space Science and Technology (CASST'2007), Bangalore, India, January 2007, Bangalore.

Biswas, Krishanu and Chattopadhyay, Kamanio (2007) Microstructural evolution during laser resolidification of Fe-25 atom percent Ge alloy. In: Symposium on Solidification Modeling and Microstructure Formation held at the 2006 TMS Annual Meeting, MAR 13-16, 2006, San Antonio.

Bobji, MS (2007) Non contact Deformation Measurement of Large Space Structures Using Digital Image Correlation. In: Conference on Advances in Space Science and Technology, , 2007, Bangalore.

Boxma, Onno J and Sharma, Vinod and Prasad, DK (2007) Performance analysis of a fluid queue with random service rate in discrete time. In: ITC20'07 Proceedings of the 20th international teletraffic conference on Managing traffic performance in converged networks, Heidelberg.

Chakrabarti, A (2007) The Future of Product Development in India. In: The Future of Product Development:, Springer Verlag, Berlin Heidelberg, 2007 (also Keynote paper at the Proceedings of the 17th CIRP Design Conference, 26-28 March, 2007, Berlin.

Chakrabarti, A and Gupta, A (2007) Design for Emotions. In: International Conference on Engineering Design (ICED07),, August 2007, Paris, France.

Chakravorty, Saugata and Mathew, Joseph (2007) Explicit filtering LES for turbulent non-premixed combustion. In: ASME/JSME 2007 5th Joint Fluids Engineering Conference (FEDSM2007) , 30 Jul- 2 Aug, San Diego.

Chandrashekar, TS and Narahari, Y (2007) A Shapley value analysis to coordinate the formation of procurement. In: IEEE International Conference on Automation Science and Engineering, SEP 22-25, 2007, Scottsdale, AZ.

Chandrashekar, TS and Narahari, Y (2007) A Shapley Value Analysis to Coordinate the Formation of Procurement Networks. In: CASE 2007. IEEE International Conference on Automation Science and Engineering, 2007., 22-25 Sept. 2007 , Scottsdale, AZ .

Chandrashekar, TS and Narahari, Y (2007) The core and shapley value analysis for cooperative formation of procurement networks. In: 9th IEEE International Conference on E-Commerce Technology/4th IEEE International Conference on Enterprise Computing, E-Commerce and E-Services, JUL 23-26, 2007, Tokyo.

Chatterjee, Saikat and Sreenivas, TV (2007) Normalized Two Stage SVQ for Minimum Complexity Wide-band LSF Quantization. In: Interspeech Conference 2007, August 27-31, 2007, Antwerp, Belgium, pp. 261-264.

Chatterjee, R and Virupaksha, S (2007) Dielectric-Coated Spherically-Tipped Metal Cone Antennas Excited in the Symmetric TM Mode at Microwave Frequencies. In: 1971. 2nd European Microwave Conference , 3-28 Aug. 1971, Stockholm, Sweden.

Chatterjee, Saikat and Sreenivas, TV (2007) Computationally efficient optimum weighting function for vector quantization of LSF parameters. In: 9th International Symposium on Signal Processing and its Applications, FEB 12-15, 2007, Sharjah.

Chatterjee, Saikat and Sreenivas, TV (2007) Gaussian mixture model based switched split vector quantization of LSF parameters. In: 7th IEEE International Symposium on Signal Processing and Information Technology, DEC 15-18, 2007, Cairo.

Chatterjee, Saikat and Sreenivas, TV (2007) Sequential split vector quantization of LSF parameters using conditional PDF. In: 32nd IEEE International Conference on Acoustics, Speech and Signal Processing, APR 15-20, 2007, Honolulu, HI.

Chaturvedi, Vijay Prakash and Rakesh, V and Bhatnagar, Shalabh (2007) An efficient and optimized bluetooth scheduling algorithm for piconets. In: 4th International Conference on Distributed Computing and Internet Technology, DEC 17-20, 2007, Bangalore.

Chawla, Charu and Purlupady, Chethana and Sarmah, Pranjit and Padhi, Radhakant (2007) Nonlinear Suboptimal Re-entry Guidance of Reusable Launch Vehicles Using Model Predictive Static Programming. In: Conference on Advances in Space Science and Technology,Bangalore, India, 2007, Bangalore.

Chevalier, Fabrice and D’Souza, Deepak and Prabhakar, Pavithra (2007) Counter-free input-determined timed automata. In: FORMATS 2007, Salzburg, Austria, October 2007, Salzburg.

Chunodkar, Apurva and Padhi, Radhakant (2007) A smart approach to precision attitude maneuvers of spacecrafts. In: 17th IFAC Symposium on Automatic Control in Aerospace (2007), 2007, Tolosana, France.

Das, BP and Amrutur, B and Jamadagni, HS (2007) Voltage scalable statistical gate delay models using neural networks. In: VLSI Design and Test 2007, Kolkota, Aug.2007 , Kolkota.

Das, Gopal Chandra and Haritsa, Jayant R (2007) Robust heuristics for scalable optimization of complex SQL queries. In: IEEE 23rd International Conference on Data Engineering, APR 11-15, 2007, Istanbul.

Das, Meera and Prabhu, Venkatesh and Saha, Narayan Chandra and Ramnarayanan, V (2007) Design of Compact High Voltage Power Supply of 15kVDC, 2kW Using Planar Transformer. In: Proc. of National Power Electronics Conference NPEC'2007 Bangalore, Dec. 2007, Bangalore.

Das, PK and Amrutur, B and Sridhar, J (2007) Design of FF with low setup and hold times across process variations. In: VLSI Design and Test 2007, Kolkota, Aug.2007 , Kolkota.

Das, Priya G and Padhi, Radhakant (2007) Nonlinear Suboptimal Guidance with Impact Angle Constraint for Slow Moving Targets in 1-D Using MPSP. In: National Conference on Advances in Sensors for Aerospace Applications, 2006, Hyderabad, India.

Das, Priya G and Padhi, Radhakant (2007) Nonlinear Suboptimal Missile Guidance with Terminal Impact Angle Constraint: A Model Predictive Static Programming Approach. In: International Conference on Advances in Control and Optimization of Dynamical Systems, Bangalore, India, Bangalore.

Dasappa, S and Sridhar, G and Sridhar, HV and Paul, PJ and Rajan, NKS and Upasini, A (2007) Producer Gas Engine - Proponent of Clean Energy Technology. In: Fifteenth European Biomass Conference and Exhibition, 7-11 May 2007, Berlin, Germany.

Dasgupta, Samunder and Ravisekhar, K and Jagadishkumar, MN and Sankaran, S and Prasad, V (2007) Challenges in electrical characterization of light weight polymer composites for electronic packing applications. In: International Conference on Polymeric Materials in Power Engineering ICPMPE, 4-6 October 2007, Bangalore.

Dawari, BM and Pandey, PC (2007) Finite element implementation of strain-space plasticity for the analysis of structures subjected to cyclic loading. In: International Conference on Recent Developments in Structural Engineering (RDSE-2007), Manipal Institute of Technology (MIT), Manipal, August 30, 31 & September 1, 2007, Manipal.

Deepak, GC and Bhat, Navakanta and Shivashankar, SA (2007) Structural and Electrical Properties of Er 203 Thin Films Deposited by RF Sputtering for Gate Dielectric Applications. In: E1-0586, 211th ECS Meeting, Chicago, USA, May 2007, USA.

Demri, Stéphane and D'Souza, Deepak and Gascon, Régis (2007) A decidable temporal logic with repeating values. In: LFCS '07 Proceedings of the international symposium on Logical Foundations of Computer Science, Heidelberg.

Deodhare, Dipti and Vidyasagar, M and Narasimha Murty, M (2007) A Unified Approach to Encoding and Classification Using Bimodal Projection-Based Features. In: ICCTA '07. International Conference on Computing: Theory and Applications, 2007., 5-7 March 2007, Kolkata .

Desari, SK and Daniel, NM and Ravindranath, HA and Seshagiri, PB (2007) Molecular characterization of tektin-2 and odf-2 in hamster spermatozoa: tyrosine phosphorylation and flagellar motility. In: Conference on Recent Advances and Cha-llenges in Reproduc-tive Health Research & 17th Annual Meet-ing of the Indian Society for the Study of Reproduction and Fertility, 19-21 February 2007, New Delhi.

Devi, R and Mathew, J and Bishnoi, P (2007) Computations of turbulent near wakes of axisymmetric bodies. In: AIAA Fluid dynamics, Miami, USA, 25-28 Jun 2007, Miami.

Devroye, Natasha and Mehta, Neelesh B and Molisch, Andreas F (2007) Asymmetric Cooperation Among Relays with Linear Precoding. In: GLOBECOM '07. IEEE Global Telecommunications Conference, 2007., 26-30 Nov. 2007, Washington, DC.

Dhananjay, Narayanachar and Ghose, D and Bhat, MS (2007) Capturability analysis of a geometric guidance law in relative velocity space. In: American Control Conference 2007, JUL 09-13, 2007, New York, NY.

Dhananjay, N and Ghose, D and Bhat, MS (2007) Time Scale Structure of PPN Guidance Law for Varying Navigational Constant. In: ICECON07, 3RD National conference on Instrumentation and Control Engineering, December 27-29 2007.

Dhananjaya, HR and Nagabhushnam, J and Pandey, PC and Surjith, NK (2007) Mindlin-Reisener based bilinear Functionally Graded plate bending element using Integrated Force Element. In: International Conference on Recent Developments in Structural Engineering (RDSE-2007),, August 30, 31 & September 1, 2007, MIT Manipal.

Dhananjaya, HR and Pandey, PC and Nagabhushanam, J and Achary, Raveendra N (2007) Laminated Composites- -Review of recent articles. In: International Conference on Recent Developments in Structural Engineering (RDSE-2007), , August 30, 31 & September 1, 2007, MIT Manipal.

Dhananjaya, HR and Pandey, PC and Nagabhushanam, J and Achary, Raveendra N (2007) Mindlin-Reisener theory based eight-node quadrilateral laminated composite plate bending element using IFM. In: International Conference on Recent Developments in Structural Engineering (RDSE-2007),, August 30, 31 & September 1, 2007, MIT Manipal.

Dhananjaya, HR and Pandey, PC and Surjith, NK and Nagabhushnam, J (2007) Functionally Graded Materials & Structures-a review. In: International Conference on Recent Developments in Structural Engineering (RDSE-2007), , August 30, 31 & September 1, 2007, MIT Manipal.

Dhanjaya, HR and Pandey, PC and Nagabhushanam, J (2007) Closed form solutions of equilibrium and flexibility matrices for rectangular plate bending elements using Integrated Force Method. In: International Conference on Recent Developments in Structural Engineering (RDSE-2007), , August 30, 31 & September 1, 2007, MIT Manipal.

Dhawan, Sarita and Mishra, Anil Kumar and Rao, Sudhakar M (2007) Experimental study on shrinkage behaviour and prediction of shrinkage magnitudes of residual soils. In: 2nd International Conference on Mechanics of Unsaturated Soils, MAR 07-09, 2007, Bauhaus Univ Weimar, Weimar.

Dinesh, M and Ananthasuresh, GK (2007) Shape Memory Alloy Actuation for a Novel Spring-Steel Compliant XY Stage. In: 2nd National ISSS Conference on MEMS, Microsensors, Smart Materials, Structures, and Systems, , Nov. 16-17, 2007, Pilani, India.

Dinesh, NS (2007) Shape Memory Alloy Based Poly Phase Motor (This paper was given meritorious award.). In: National Power Electronics Conference, 2007, INDIA, India.

Dutta, P and Murthy, KSS and Kumar, P (2007) Squeeze Casting and Semi-solid Forming: New Developments. In: International Conference on Aluminium (INCAL), , Nov. 21-23, 2007, Hyderabad.

Dwivedi, Satyam and Amrutur, Bharadwaj and Bhat, Navakanta (2007) Optimizing resolution of signals in a low-IF receiver. In: IEEE ISSCS 2007, Romania, Europe, 13-14 July 2007 , Romania.

Ecklebe, A and Lindemann, A (2007) Bi-directional Switch Commutation for a Resonant Matrix Converter supplying a Contactless Energy Transmission System. In: Power Conversion Conference - Nagoya, 2007. PCC '07 , 2-5 April 2007 , Nagoya .

Fechner, D and Dieringa, H and Maier, P and Kainer, KU and Mondal, AK and Kumar, S (2007) Interrupted creep of newly developed high pressure die cast Magnesium Alloys. In: Procs, Of Processing And Fabrication Of Advanced Materials Xvi, , Dec 2007 , Singapore.

Figarado, Sheron and Gopakumar, K and Mondal, Gopal and Sivakumar, K and Dinesh, NS (2007) Three-level inverter fed open-end winding IM drive with common mode voltage elimination and reduced power device count. In: 33rd Annual Conference of the IEEE-Industrial-Electronics-Society, NOV 05-08, 2007, Taipei.

Ganesan, K and Bhat, HL (2007) Magneto-transport and optical properties of diluted magnetic semiconductor Ga1-xMnxSb. In: 14th International Workshop on the Physics of Semiconductor Devices, DEC 17-20, 2007, Mumbai.

Ganesh, D and Deb, A and Haorongbam, B and Raguraman, M (2007) An insight into performance of tubular components for vehicle crash energy management. In: National Conference on Automotive Manufacturing , , February 2007, Coimbatore, India.

Gangadharan, R and Murthy, CRL and Bhat, MR and Sen, A and Das, N and Seal, A (2007) Embedded PZT wafer sensors for structural health monitoring. In: 33rd Annual Review of Progress in Quantitative Nondestructive Evaluation, JUL 30-AUG 04, 2006, Portland.

Gangadharan, R and Gopalakrishnan, S and Murthy, CRL and Bhat, MR (2007) Structural health monitoring of metallic structures using Lamb waves. In: National seminar on Non-Destructive Evaluation NDE-2007, Nov 28-30 2007, Vadodara.

Ganguli, Ranjan and Jehnert, Beatrix and Wolfram, Jens and Voersmann, Peter (2007) Optimal location of centre of gravity for swashplateless helicopter UAV and MAV. In: Heli-Japan AHS International Technical Meeting on Advanced Rotorcraft Technology and Life Saving Activity, January 2007, Nagoya, Japan.

Garai, T and Mukhopadhyay, S and Ghose, D (2007) Study of capturability of TPN guidance law with estimator time constant in loop. In: Proceedings of International Conference on Advances in Control and Optimization of Dynamical Systems (ACODS2007), Bangalore, India, Bangalore.

Garg, Dinesh and Narahari, Y and Reddy, Siva Sankar (2007) Design of an optimal auction for sponsored search auctions. In: 9th IEEE International Conference on E-Commerce Technology/4th IEEE International Conference on Enterprise Computing, E-Commerce and E-Services, JUL 23-26, 2007, Tokyo.

Gautam, Raghav Kumar and Hemachandra, N and Narahari, Y and Prakash, Hastagiri (2007) Optimal auctions for multi-unit procurement with volume discount bids. In: 9th IEEE International Conference on E-Commerce Technology/4th IEEE International Conference on Enterprise Computing, E-Commerce and E-Services, UL 23-26, 2007, Tokyo.

Geetika, * and Umarji, AM (2007) The study of morphotropic phase boundry in PZT-PZn system. In: IUMRS-ICAM 2007 , 2007.

Ghosh, Prasanta Kumar (2007) Speech segmentation using extrema based signal track length measure. In: IEEE International Conference on Acoustics, Speech and Signal Processing, 2007. ICASSP 2007. , 15-20 April 2007, Honolulu, HI .

Ghosh, S and Chokshi, AH (2007) Microstructural Design for Superplasticity in Ceramics. In: Procs. Of Frontiers In The Design Of Materials, , 2007, Chennai, India.

Ghosh, S and Roy, D (2007) A family of Runge-Kutta based explicit methods for rotational dynamics. In: ASME 2007 International Mechanical Engineering Congress and Exposition (IMECE2007), November 11-15, 2007, Seattle, Washington.

Ghoshal, Anirban and John, Vinod (2007) A Method to Improve PLL Performance Under Abnormal Grid Conditions. In: National Power Electronics Conference 2007, 17-19 Dec. 2007, Indian Institute of Science , Bangalore.

Girija, HM and Chatterjee, SK (2007) Metal Disc-Loaded Sommerfeld Surface Wave Line. In: 1971. 2nd European Microwave Conference , 23-28 Aug. 1971, Stockholm, Sweden.

Girish, BC and Govindarajan, R (2007) A Petri net model for evaluating packet buffering strategies in a network processor. In: 4th International Conference on the Quantitative Evaluation of Systems, SEP 17-19, Edinburgh, SCOTLAND.

Godbole, Rohini M (2007) Fermion Electric Dipole Moments in R-parity violating Supersymmetry. In: IPM-LHP06, IPM School and Conference on Lepton Hadron Physics, May 15-20,2006, Tehran, Iran.

Gokhale, AA and Ramamurty, U (2007) Production, Properties and Applications of Aluminium Foams. In: Procs. International Conference On Aluminium, INCAL 2007, , Nov. 2007, Hyderabad.

Gokhale, Ravindra and Mathirajan, M (2007) A Solution Framework for Job Scheduling with Incompatible Job Families on Parallel Batch Processors for Minimizing the Total Weighted Tardiness. In: Presented in National Conference on Business Optimization Research Wave, NITIE, , 15-17 Feb. 2007, Mumbai.

Gopalakrishnan, S (2007) Application of Spectral Finite elements for Active Control of Smart Composite Structures. In: Sixteenth National, Aerospace Structures Seminar (XVI NASAS , Park College of Engineering, Coimbatore, October 15-16, 2007, Coimbatore.

Gopalakrishnan, S (2007) Recent Research in Structural Health Monitoring. In: Second National Conference on Smart Materials, Structures and MEMS (ISSS-MEMS, 2007), Central Electronic Research Institute (CEERI), Pilani, Rajasthan, India, December 15-16, 2007, Rajasthan.

Gopalakrishnan, S (2007) Wave propagation based damage measures for Structural health Monitoring. In: Recent Advances in Structural Engineering (RDSE 2007), Manipal Institute of Technology, Manipal, India, August 30-Sept 2, 2007, Manipal.

Gopalakrishnan, S and Mahapatra, DR and Murthy, CRL and Bhat, MR and Gangadharan, R (2007) Damage Characterization using PWAS and Time-Frequency Signal Analysis. In: International and INCCOM-6 Conference on future trends in Composite materials and Processing, Dec 12-14 2007, IIT, Kanpur.

Gopalakrishnan, S and Mitra, Mira and Ruzzene, M and Hanagud, S (2007) Frequency Domain- Based Damage Index for Structural Health Monitoring Using Laser Vibrometry. In: 48th AIAA/ASME/ASCE/AHS/ASC Structures, Structural Dynamics, and Materials Conference, Waikiki, Hawaii, USA, 23-26, April 2007, Hawaii.

Gopalakrishnan, S and Ruzzene, M and Hanagud, S and Mitra, Mira (2007) Damage Index Formulation Based on the Filtered Spectral Approximation of the Structural Response. In: 48th AIAA/ASME/ASCE/AHS/ASC Structures, Structural Dynamics, and Materials Conference, Waikiki, Hawaii, USA, 23-26, April 2007, Hawaii.

Gopinath, Dinesh and Ramanarayanan, V (2007) Implementation of Bidirectional-Switch Commutation Scheme for Matrix Converters. In: Proc. of National Power Electronics Conference NPEC'2007 Bangalore, Dec. 2007, Bangalore.

Goswami, BN and Xavier, P and Madhusoodanan, M and Sengupta, D and Venugopal, V (2007) Some Aspects of an Increasing Trend of Extreme Rain Events Over India. In: International Union for Geodesy and Geophysics Annual Meeting, July 2007, Perugia, Italy.

Govind, S and Govindarajan, R and Kuri, Joy (2007) Packet Reordering in Network Processors. In: IEEE International Parallel and Distributed Processing Symposium, 2007. IPDPS 2007., 26-30 March 2007 , Long Beach, CA.

Gunjal, Samyama and Mandalia, Vinod GH and Venkataram, P (2007) Campus Wireless Touring System. In: Smart Communication Technologies and Industrial Informatics (SCTII) - 2007, NIT Rourkela, Feb.2007, Rourkela.

Gupta, Abhishek and Nuggehalli, Pavan and Kuri, Joy (2007) An Efficient Scheme for Establishing Pairwise Keys for Wireless Sensor Networks. In: 2nd International Conference on Communication Systems Software and Middleware, 2007. COMSWARE 2007. , 7-12 Jan. 2007 , Bangalore .

Gupta, GS and Kitamura, S and Ariyama, T (2007) Recent advances in blast furnace modeling in India. In: Procs. Of 54th JSPS Meeting On Iron Making Practices,, June 2007 , New Haven, Connecticut.

Gupta, PK and Kanhirodan, R (2007) A DCT Based Filtering of Biomedical Images. In: IEEE International Conference on Industrial Technology 2006 ICIT 2006, 15-17 Dec 2006, Mumbai .

Gupta, Rakhi and Vadhiyar, Sathish S (2007) An Efficient MPI_Allgather for Grids. In: HPDC '07 Proceedings of the 16th international symposium on High performance distributed computing , New York, NY.

Gurunath, G and Padhi, R and Sen, Indraneel (2007) Design of a Feedback Linearization based Power System Stabilizer. In: International Conference on Power Systems ICPS-2007, CPRI, Bangalore , December 2007, Bangalore.

Guruprasad, KR and Ghose, D (2007) Multi-agent Search using Voronoi partitions. In: Proceedings of the International Conference on Advances in Control and Optimization of Dynamical Systems (ACODS2007), February 2007, Bangalore.

Guruprasad, KR and Ghose, Debasish (2007) Deploy and search strategy for multi-agent systems using Voronoi partitions. In: 4th International Symposium on Voronoi Diagrams in Science and Engineering, JUL 09-11, 2007, Glamorgan.

Haldar, Sumanta and Babu, Sivakumar GL (2007) Reliability Based Design of Pile Foundations Based on Cone Penetration Test Data. In: First Indian Young Geotechnical Engineers Conf., Hyderabad.

Haldar, Sumanta and Babu, Sivakumar GL and Bhattacharya, S (2007) Buckling and Bending of Slender Piles in Liquefiable Soils during Earthquakes: A Probabilistic Analysis. In: International Workshop on Earthquake Geotechnical Engg., Kolkata.

Hari Prasad Reddy, P and Inbaraj, R and Sivapullaiah, PV (2007) Contolling Alkali Induced Heave in soil with fly ash. In: Sri Lankan Geotechnical Society's 1st Int. Conf. on Soil & Rock Engg., Colombo, Colombo.

Hari Prasad Reddy, P and Sivapullaiah, PV (2007) Reduction of Heaving in Alkali Contaminated Soil. In: 2nd Int. Conf. on Waste Mgmt. and Tech., Beijing, Beijing.

Hari Prasad Reddy, P and Sivapullaiah, PV (2007) Reduction of Heaving in Alkali Contaminated Soil. In: 2nd Int. Conf. on Waste Management and Tech., Beijing, Beijing.

Hariharan, Ramesh and Kavitha, Telikepalli and Panigrahi, Debmalya (2007) Efficient Algorithms for Computing All Low s-t Edge Connectivities and Related Problems. In: SODA '07 Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms, Philadelphia, PA.

Harish, BP and Bhat, Navakanta and Patil, Mahesh B (2007) Process variability-aware statistical hybrid modeling of dynamic power dissipation in 65 nm CMOS designs. In: International Conference on Computing - Theory and Applications (ICCTA 2007), MAR 05-07, 2007, Calcutta.

Harish, D and Darera, Pooja N and Haritsa, Jayant R (2007) On the Production of Anorexic Plan Diagrams. In: VLDB '07 Proceedings of the 33rd international conference on Very large data bases, September 2007.

Harshan, J and Sundar Rajan, B (2007) Co-ordinate interleaved distributed space-time coding for two-antenna relay networks. In: GLOBECOM '07. IEEE Global Telecommunications Conference, 2007., 26-30 Nov. 2007 , Washington, DC .

Harursampath, Dineshkumar and Tamrakar, Ajay Kumar (2007) Optimal configurations of active fiber composites based on asymptotic torsional analysis - art. no. 641413. In: Conference on Smart Structures, Devices, and Systems III, DEC 11-13, 2006, Adelaide.

Hebbar, Sankeerth and Suryaprakash, N (2007) 13th National Magnetic Resonance Society Meeting, NCL Pune, Feb 5-8, 2007. In: 13th National Magnetic Resonance Society Meeting, NCL Pune , Feb 5-8, 2007, Pune.

Hegde, G and Pathak, Amit and Jagdeesh, G and Oommen, C and Arunan, E and Reddy, KPJ (2007) Spectroscopic studies of micro explosions. In: Proceedings of the International Shock Wave Symposium. ISSW-26, July 15-20 2007.

Hegde, S and Ananthasuresh, GK (2007) Topology Optimization of Compliant Micromechnisms with Manufaturing Constraints Imposed by the Wet Ecthing of (110) Silicon. In: 2nd National ISSS Conference on MEMS, Microsensors, Smart Materials, Structures, and Systems, , Nov. 16-17, 2007, Pilani, India.

Hemissi, M and Amardjia-Adnani, H (2007) Optical and structural properties of TiO2 films deposited by Sol-Gel technique. In: Digest Journal of Nanomaterials and Biostructures, 27th -31st Dec.2007.

Indrani, AV and Sarma, VVS (2007) Autonomous On-board Mission Planning in a Petri-net framework. In: Workshop on AI in Space Applications, IJCAI-2007 conference, Hyderabad, January 2007, Hyderabad.

Indrani, AV and Sarma, VVS (2007) Knowledge modeling using Petri nets for autonomous on-board mission-planning. In: CASST 2007 Conference on Advances in Space Science & technology, IISc., Bangalore, January 29-31, 2007, Bangalore.

Ivanova, ZG and Aneva, Z and Ganesan, R and Tonchev, D and Gopal, ESR and Rao, KSRK and Allen, TW and DeCorby, RG and Kasap, SO (2007) Low-temperature Er3+ emission in Ge-S-Ga glasses excited by host absorption. In: 15th International Symposium on Non-Oxide Glasses and New Optical Glasses, APR 10-14, 2006, ndian Inst Sci, Bangalore.

Jagadish, KS and Rao, Nanjunda KS and Saikia, B and Raghunath, S (2007) Evaluation of seismic behaviour of masonry building models through shock table tests. In: Structural Engineers World Congress 2007, November 2-7, 2007.

Janakiraman, S and Nanjundiah, RS and Murthy, ASV (2007) A variable resolution spectral model on the sphere with finer resolution over the tropics. In: 2007 Workshop on Solution of PDE on the Sphere, UK Met Office, 24-27 Sept 2007, Exeter UK.

Jayakanth, Francis and Sharada, B and Minj, Filbert (2007) An OAI-based Approach to Build and Maintain Union Catalogue of OPACs. In: International Conference on Semantic Web and Digiral Libraries: ICSD, 21-23 February, 2007, Bangalore, India, pp. 451-457.

Jayaraman, Balaji and Bhat, Navakanta (2007) High Precision 16-bit Readout Gas Sensor Interface in $0.13\mu m \hspace{2mm} CMOS$. In: IEEE International Symposium on Circuits and Systems:ISCAS 2007, 27-30 May 2007, New Orleans, LA, USA, pp. 3071-3074.

Jayaraman, Balaji and Bhat, Navakanta (2007) High precision 16-bit readout gas sensor interface in 0.13im CMOS. In: International Symposium on Circuits and Systems, New orleans, USA, May 2007, USA.

Jenkinsb, Lawrence (2007) Hybrid algorithms for power system unit commitment. In: 50th Midwest Symposium on Circuits and Systems, SEP 05, 2007-AUG 08, 2008, Montreal, Que.

Jha, Shibani and Mohan Kumar, MS (2007) A Non-isothermal and Miscible Multiphase Models for Simulating DNAPL-Water Flow in Saturated Rock Fracture under Deformation. In: 4th Annual meeting, AOGS, Bangkok, July-Aug, 2007, Bangkok.

Jose, Sumy and Sidhwa, HH and Vinoy, KJ and Lakshmeesha, VK (2007) Design Study of Micromachined Phase Shifters for Integrated Phased Array Antennas. In: CASST'2007 Conference on Advances in Space Science and Technology, Bangalore, Jan.2007, Bangalore.

Jose, Sumy and Vinoy, KJ (2007) Design and Optimization of Broadband Micromachined Antenna. In: 2006 Annual IEEE India Conference,, Sept. 2006 , New Delhi .

Jose, Sumy and Vinoy, KJ (2007) RF MEMS Switches and Their Power Handling Studies. In: CASST'2007 Conference on Advances in Space Science and Technology, Bangalore, Jan.2007, Bangalore.

Joshua, Arjun and Venkataraman, V (2007) Simplified theory of optical nonlinearities in spin-polarized bulk GaAs. In: 14th International Workshop on the Physics of Semiconductor Devices, DEC 17-20, 2007, Mumbai.

Joshua, Arjun and Venkataraman, V (2007) Simplified theory of optical nonlinearities in spin-polarized bulk GaAs. In: 14th International Workshop on the Physics of Semiconductor Devices, DEC 16-20, 2007, Mumbai.

Jugeshwar Singh, K and Paramita, KC and Menon, Reghu and Ramesh, KP (2007) 3-Methyl Thiophene : A Comparison of Proton Spin Lattice Relaxation studies of monomer and its doped polymer. In: Proc. Of 52nd DAE Solid State Physics Symposium, Dec 27-31, 2007 , Mysore.

Kailas, Satish V and Kumar, K (2007) Optimization of Friction Stir Welding Parameters study on Aluminium alloys. In: National Aerospace Manufacturers Seminar, NAMS-2007, , Dec 6-7, 2007, Thiruvananthapuram.

Kailas, Satish V and Mannekote, Jagadeesh Kumar (2007) Value addition in Coconut- Testing and Characterization of biodegradable lubricant from coconut oil. In: The second International Coconut Summit. , 9-11 May 2007, Kochi.

Kailas, Satish V and Sengupta, AK and Kutty, TRG and Prashanth, GBN (2007) Design and Development of High Temperature (1873K) Micro-indenters - Vickers, Knoop and Berkovich. In: Annual technical Meeting of IIM, , November 15-16, 2007, Mumbai.

Kancharala, AK and Roy Mahapatra, D (2007) Design and analysis of a morphing aerofoil integrated with shape memory alloy wires. In: Symp. Applied Aerodynamics and Design of Aerospace Vehicle (SAROD07), Nov. 22-23, 2007, Thiruvananthapuram, India, Nov. 22-23, 2007, Thiruvananthapuram.

Kancherla, Bhargava K and Narayan, Ganesh M and Gopinath, K (2007) Performance Evaluation of Multiple TCP connections in iSCSI. In: 24th IEEE Conference on Mass Storage Systems and Technologies, September 2007, San Diego, California, USA, 239 -244.

Kandagal, SB (2007) Application of PZT in Impulse hammer for modal analysis. In: Proceedings of the International conference on Theoretical, Applied, Computational and Experimental mechanics (ICTACEM 2007), December 27-29 2007, IIT-Kharagpur.

Kankanala, Laxmi and Narasimha Murty, M (2007) Hybrid approaches for clustering. In: Proceedings of Pattern Recognition and Machine Intelligence (PREMI 2007), Lecture Notes in Computer Science, LNCS 4815, Springer.

Kannan, N and Seetharama Bhat, M (2007) Design and Flight Testing of H8 Lateral Flight Control for an Unmanned Air Vehicle. In: Proc. IEEE 2007 IEEE Multi-conference on Systems and Control, Session: Aerial and Space Vehicles with Applications, October 1-3 2007, Singapore.

Kar, PK and Sarkar, AK and Ghose, D and Srinivasan, T (2007) Singular perturbation based midcourse guidance law for air-to-air engagement. In: Proceedings of International Conference on Advances in Control and Optimization of Dynamical Systems (ACODS2007), Bangalore, India, February 2007, Bangalore.

Karthik, C and Varma, KBR (2007) Evolution of nanocrystalline BaBi2Nb2O9 in Li2B4O7 BaO-Bi(2)O3-Nb2O5 glass system. In: 15th International Symposium on Non-Oxide Glasses and New Optical Glasses, APR 10-14, 2006, Indian Inst Sci, Bangalore, INDIA,.

Karunanithi, R and Nadig, DS and Narasimham, GSVL and Kumar, Dinesh and Jacob, S and Behera, Upendra (2007) Cryogenic loop heat pipe. In: Conference in Advances in Space science and Technology (CASST-2007), January 2007.

Karunanithi, R and Venkateshan, M and Aruldasan, KT and Sangunni, KS and Jacob, Subhash (2007) Novel optical cooler with thermo electrically cooled radiation shields. In: Cryogenic engineering conference and international cryogenic materials conference Chattanooga U.S.A, July 2007.

Kasar, T and Ramakrishnan, AG (2007) Block-based feature detection and matching for mosaicing of camera-captured document images. In: IEEE Region 10 Conference ( TENCON 2007), OCT 30-NOV 02, 2007, Taipei,.

Kasturi, Jyothiram and Sundaresan, Rajesh (2007) Sequence design for symbol-asynchronous CDMA with power or rate constraints. In: 2nd International Conference on Communication Systems Software and Middleware, JAN 07-12, 2007, Bangalore, INDIA.

Katageri, B and Herkal, RN and Magadal, CS and Sivapullaiah, PV (2007) Delay in Compaction and role of moulding water content on the strength behaviour of lime stabilized soil-fly ash mixtures. In: Geo-Changsha 2007.

Katageri, Basavaraj and Sivapullaiah, PV and Herkal, RN (2007) Behavior and Analysis of Compaction Characteristics of High Paste Roller Compacted Concrete Mixes. In: 5th Int. Conf. on Dam Engg., Lisbon, Portugal, Lisbon, Portugal.

Kavitha, Telikepalli (2007) Faster algorithms for all-pairs small stretch distances in weighted graphs. In: Proceedings of Foundations of Software Software Technology and Theoretical Computer Science FSTTCS 2007.

Kavitha, Telikepalli and Mehlhorn, Kurt and Michail, Dimitrios (2007) New approximation algorithms for minimum cycle bases of graphs. In: 24th Annual Symposium on Theoretical Aspects of Computer Science, FEB 22-24, 2007, Aachen.

Kavitha, Veeraruna and Sharma, Vinod (2007) Analysis of an LMS linear equalizer for fading channels in decision directed mode. In: 13th European Wireless Conference, Paris, France, Apr.2007, Paris.

Kavitha, Veeraruna and Sharma, Vinod (2007) Tracking analysis of an LMS decision feedback equalizer for a wireless channel. In: 13th European Wireless Conference, Paris, France, Apr.2007, Paris.

Kota, S and Chakrabarti, A (2007) Development of A Platform for Supporting Design for Environment. In: International Conference on Engineering Design (ICED07), , August 2007, Paris, France.

Kota, S and Chakrabarti, A (2007) Development of a Method for Estimating Uncertainty in Evaluation of Environmental Impacts during Design. In: International Conference on Engineering Design (ICED07), , August 2007, Paris, France.

Kota, Srinivas and Chakrabarti, Amaresh (2007) A Method for Comparative Evaluation of Product Life Cycle Alternatives under Uncertainty. In: Proceedings of the 16th International Conference on Engineering Design (ICED07), August 2007, Paris, France.

Kota, Srinivas and Chakrabarti, Amaresh (2007) Use of DfE Methodologies and Tools - Major Barriers and Challenges. In: Proceedings of the 16th International Conference on Engineering Design (ICED07), August 2007, Paris, France.

Kothari, Mangal and Padhi, Radhakant (2007) A Hybrid Energy-insensitive Explicit Guidance Scheme for Long Range Flight Vehicles with Solid Motors. In: 17th IFAC Symposium on Automatic Control in Aerospace, Touoluse, France, Tolosana, France.

Krishna, Hari S and Harursampath, Dineshkurnar (2007) Macro fiber composite (MFC) as a delamination sensor in antisymmetric laminates. In: Conference on Smart Structures, Devices, and Systems III, DEC 11-13, 2006, Adelaide.

Krishnan, S and Bhattacharyya, Chiranjib and Hariharan, Ramesh and Genomics, Strand (2007) A Randomized Algorithm for Large Scale Support Vector Learning. In: Proceedings of 21st Annual Conference on Neural Information Processing Systems (NIPS), June 2007.

Krishnanand, KN and Ghose, D (2007) Glowworm swarm optimization algorithm for hazard sensing in ubiquitous environments. In: Proceedings of the International Conference on Ubiquitous Information Technologies and Applications (ICUT'2007:,), Dubai, UAE, February 2007, Dubai.

Krishnanand, KN and Ghose, Debasish (2007) Chasing Multiple Mobile Signal Sources: A Glowworm Swarm Optimization Approach. In: Proceedings of the 3rd Indian International Conference on Artificial Intelligence (IICAI-07), Pune, India, December 2007, Pune.

Kroposki, Ben and Pink, Christopher and Lynch, Jonathan and John, Vinod and Daniel, SM (2007) Development of a High-Speed Static Switch for Distributed Energy and Microgrid Applications. In: Power Conversion Conference - Nagoya, 2007. PCC '07, 2-5 April 2007, Nagoya, Japan, pp. 1418-1423.

Kulkarni, Gururai A and Rao, Koteswara KSR and Raman, R and Pandey, Alhllcsh and Sharma, RK and Garg, AK and Srivastava, Meenakshi (2007) Quality assessment of CdZnTe (Zn similar to 4 %) crystals. In: 14th International Workshop on the Physics of Semiconductor Devices, DEC 17-20, 2007, Mumbai.

Kumar, Bimlesh and Samui, Pijush (2007) Sensitive Analysis of Soil Parameters on Stability Numbers. In: Civil Engineering in the New Millennium:Opportunities and Challenges, 11-14 January, West Bengal,India.

Kumar, Bimlesh and Verma, Neelam and Rao, Ramakrishna A (2007) Methodology to Conserve Energy in Surface Aerators. In: Proceeding of Bhartiya Vigyan Sammelan, November 23-25, 2007, Bhopal.

Kumar, Gopu VR Muni and Rajan, B Sundar (2007) Complex near-orthogonal designs with no zero entry. In: IEEE International Symposium on Information Theory, JUN 24-29, 2007, Nice.

Kumar, Gopu VR Muni and Rajan, B Sundar (2007) Low PAPR STBCs from Complex Partial-Orthogonal Designs (CPODs). In: IEEE Global Telecommunications Conference (GLOBECOM 07), NOV 26-30, 2007, Washington, DC.

Kumar, J and Kasar, T and Ramakrishnan, AG (2007) Edge-based connected component approach for skew correction of complex document images. In: IEEE Region 10 Conference ( TENCON 2007), OCT 30-NOV 02, 2007, Taipei.

Kumar, K and Kailas, Satish V (2007) Optimization of friction stir welding parameters for AA6061-T6. In: Annual technical Meeting of IIM, , November 15-16, 2007, Mumbai.

Kumar, K and Kailas, Satish V (2007) Optimization of friction-stir welding parameters for a precipitation hardenable aluminum alloy. In: Invited talk presented at the International Conference on Processing and Fabrication of Advanced Materials, , 17-19 December, 2007, Singapore.

Kumar, K and Kailas, Satish V (2007) Production of bulk-nano materials by friction-stir processing. In: Invited talk presented at the International Conference on Processing and Fabrication of Advanced Materials, , 17-19 December, 2007, Singapore.

Kumar, P and Lakshmi, H and Dutta, P (2007) Rheocasting of aluminium A356 alloy in a linear electromagnetic stirrer. In: The 6th Pacific Rim International Conference on Advanced Materials and Processing, , Nov. 5-9, 2007, Jeju Island, Korea.

Kumar, PR and Venkatesh, C and Pratap, R and Bhat, Navakanta (2007) C-V Characterization of a Mems Torsional Varactor. In: International Conference on Advanced Materials (ICAM), IUMRS, Bangalore, Oct.2007, Bangalore.

Kumar, Praveen and Kuri, Joy and Nuggehalli, Pavan and Strasser, Mario and May, Martin and Plattner, Bernhard (2007) Connectivity-aware Routing in Sensor Networks. In: 2007 International Conference on Sensor Technologies and Applications, 14-20 Oct. 2007 , Valencia.

Kumar, Ranjit and Pavithra, Soundara Raghavan and Tatu, Utpal (2007) Three-dimensional structure of heat shock protein 90 from Plasmodium falciparum: molecular modelling approach to rational drug design against malaria. In: International Symposium on Environmental Factors, Cellular Stress and Evolution, OCT 13-15, 2006, Banaras Hindu Univ, Varanasi.

Kumar, Sanjeev and Gandhi, KS and Kumar, R (2007) Modeling of formation of gold nanoparticles by citrate method. In: CHEMCON Meeting 2005., DEC, 2004, Mumbia.

Kumar, Sudhir R and Bala Subrahmanya, MH (2007) Role of Subcontracting in the Promotion of Innovation in Indian SMEs: A Comparative Analysis of German and Japanese Subcontractors. In: Presented at the Second Annual Max Planck-IISc International Conference on Entrepreneurship, Innovation and Economic Growth, held at Dept. of Mgmt. Studies,, 25-27 October 2007, IISc, Bangalore.

Kumar, Udaya (2007) Classification of Stroke and Estimation of Incident Stroke Current from the Tower Base Currents of LPS to Indian Satellite Launch Pad-II. In: Conference on Advances in Space Science and Technology 2007,, January 2007, Bangalore.

Kumar, Vijay P (2007) Cooperative Diversity in Wireless Networks. In: National Conference on Communications (NCC 2007),Indian Institute of Technology, Kanpur, Jan.2007, Kanpur.

Kumar, Vijay P and Elia, Petros and Vinodh, K (2007) Efficient space-time codes from cyclic division algebras. In: IEEE Military Communications Conference, 2006. MILCOM 2006. , 23-25 Oct. 2006, Washington, DC.

Kumar , K and Srivatsan, TS and Kailas, Satish V (2007) An insight into understanding the intrinsic mechanisms governing the formation of friction stir welds in joining of metals. In: The International Conference on Processing and Fabrication of Advanced Materials, , 17-19 December, 2007, Singapore.

Kumari, Aruna G and Latha, Madhavi G (2007) Effect of joint parameters on the stress-strain response of rocks. In: 11th Congress of the International Society for Rock Mechanics, 3 VOLUMES + CD-ROM Edited by C . Olalla , N . Grossmann , and L . Ribeiro e Sousa , 2007, Lisbon.

Kumari, Aruna G and Latha, Madhavi G (2007) Parametric studies of an underground excavation in jointed rock. In: Proceedings of the Tenth International Symposium on Numerical Models in Geomechanics (NUMOG X), Rhodes, Greece, 25-27 April 2007, Greece.

Kuri, Joy and Roy, Sharmili (2007) Pricing Network Resources: A New Perspective. In: 3rd International Conference on Wireless Communications, SEP 21-25, 2007, Shangai, China.

Lange, Hellmuth and Meier, Lars (2007) Consumerist Predators"? Emerging lifestyles between McDonaldization and sustainability perspectives: the case of highly qualified employees (HQEs) in India. In: International Conference on Environmental Sociology at the Reimin University of China in Beijing, 29 June-July 1, 2007, South Asia.

Laxman, Srivatsan and Sastry, PS and Unnikrishnan, KP (2007) A fast algorithm for finding frequent episodes in event streams. In: KDD '07 Proceedings of the 13th ACM SIGKDD international conference on Knowledge discovery and data mining, Aug. 2007, New York, NY.

Liao, CM and Roy Mahapatra, D and Balachandran, B (2007) Adaptive control of vibration transmission in a strut system. In: SPIE Smart Mater. Struct. Conf., March 18-22 2007, San Diego.

López-Mesa, B and Chakrabarti, A (2007) A Model of Decision-Making Knowledge in Conceptual Design. In: International Conference on Engineering Design (ICED07),, August 2007, Paris, France.

Madahevan, P and Jog, CS and Chatterjee, A (2007) Critical Speed of Arbitrary Shaped Axisymmetric Rotors Books. In: Conference on Advances in Space Science and Technology (CASST'2007), 2007, IISc, Bangalore.

Madan, R and Kolter, R and Mahadevan, S (2007) Studies on the role of the bgl operon of E.coli in stationary phase. In: Phenotypic and Developmental Plasticity, 2007.

Madan, Ritesh and Mehta, Neelesh B and Molisch, Andreas F and Zhang, Jin (2007) Energy-Efficient Decentralized Routing with Localized Cooperation Suitable for Fast Fading. In: Allerton Conference on Communication, Control and Computing, Allerton, USA, Dec.2007 , Allerton, USA.

Madanmohan, A and Ravikrishna, RV (2007) Multi-dimensional Combustion Simulations in a Single-Cylinder Biogas-fuelled Spark Ignition Engine with Detailed chemistry. In: 6th Asia-Pacific Conference on Combustion, , 2007, Nagoya, Japan.

Mahadevan, P and Chatterjee, A (2007) Some Classical Buckling Problems Revisited from a Continuum Approach. In: UNSPECIFIED, pp. 179-185.

Maharana, Aurobinda and Rathna, GN (2007) Fault-tolerant Video on Demand in RSerPool Architecture. In: ADCOM 2006. International Conference on Advanced Computing and Communications 2006, 20-23 Dec 2006 , Surathkal.

Mahle, Inga and Mathew, Joseph and Friedrich, Rainer (2007) Effects of compressibility and heat release on the turbulent mixing layer boundaries. In: 11th European Turbulence Conference, Porto, Portugal, 25-27 June, Portugal.

Maity, Rajib and Nagesh Kumar, D (2007) Bayesian dynamic linear modeling for streamflow forecasting using large-scale atmospheric circulations. In: International Conference on Civil Engineering in the New Millennium: Opportunities and Challenges (CENeM-2007), Bengal Engineering and Science University, Shibpur, Howrah, West Bengal, India., January 11-14, 2007, West Bengal.

Majhi, Koushik and Prakash, Shri B and Kumar, Suneel and Varma, KBR (2007) Giant dielectric constant and dielectric relaxation in Sr2SbMnO6 ceramics. In: National Seminar on Electroceramics (NSE-2007), 5-6, Nov. 2007.

Majumdar, Rumki and Bala Subrahmanya, MH (2007) Entrepreneurship and TFPG in Indian Electronics Industry: India's Experience under Liberalization. In: Presented at the Second Annual Max Planck-IISc International Conference on Entrepreneurship, , 25-27 October 2007, Innovat, Bangalore.

Majumdar, Rumki and Bala Subrahmanya, MH (2007) Total Factor Productivity Growth and Output Growth in Indian Electronics Industry in the Liberalization Era: An Empirical Examination. In: Presented at Singapore Economic Review Conference 2007 held at Singapore , 2-4 August 2007, Singapore.

Malathi, S and Pattnaik, PK and Srinivas, T and Mittal, Manish and Rani, KE and Usha, R (2007) Analysis of a Novel MOEM Race Track Resontor based Vibration Sensor. In: Sensors 2007 RCI, Hyderabad, Hyderabad.

Malik, SK and Sankaranarayanan, V and Sethupathi, K and Prasad, V and Subramanyam, SV and Morozkin, AV and Nirmala, R (2007) MAGNETOTRANSPORT IN Dy5Si2Ge2. In: 10th Intl Conf on Advanced Materials IUMRS-ICAM 2007, Oct. 8 -13, 2007, Bangalore.

Mani, Monto and Avvannavar, Santosh M and Reddy, Venkatarama BV (2007) Design of a Modified Compact Septic-tank to Suit Coastal (island) Habitations with High Watertable. In: World Toilet Summit 2007, , 31 Oct’ 07 – 3 Nov’ 07, New Delhi, India.

Mani, Monto and Dayal, Anuj and Chattopadhyay, RN (2007) An Assessment into the Sustainability of Earthen Structures & Modern Transitions. In: International Symposium on Earthen Structures, , 22-24 Aug 2007, Bangalore, India.

Mani, Monto and Reddy, BVV and Sreenath, M and Lokabhiraman, S (2007) Design of a climate-responsive BIPV research facility in Bangalore. In: Solar World Congress of the International-Solar-Energy-Society, SEP 18-21, 2007, Beijing.

Manikandan, N and Asokan, S (2007) Network topological thresholds in gallium doped As-Te glasses Electrical and thermal investigations. In: 15th International Symposium on Non-Oxide Glasses and New Optical Glasses, APR 10-14, 2006, Indian Inst Sci, Bangalore, INDIA.

Manish Kumar, C and Gopinath, K (2007) Recovery from DoS Attacks in MIPv6: Modelling and Validation. In: Fifth IEEE International Conference on Software Engineering and Formal Methods, 10-14 Sept. 2007 , London .

Manjunath, D and Gopalaiah, SV (2007) A Multi-Purpose Wireless Sensor Network for Residential Layouts. In: The 9th International Workshop on Information Integration and Web-based Applications and Services(iiWAS'07), Jakarta, Indonesia, Dec.2007 , Jakarta, Indonesia.

Manjunath, D and Gopalaiah, SV and Dewangan, Vijay (2007) Wireless Sensor Networks for Organizational Network Analysis. In: 2nd International Conference on Communication Systems Software and Middleware, 2007. COMSWARE 2007., 7-12 Jan. 2007 , Bangalore .

Mathew, J (2007) Error estimation in the explicit filtering method for LES. In: QLES2007, Louvain, Belgium, 24-26 Oct 2007, Louvain.

Mathew, M (2007) Importance of Measuring Incremental Innovation in Developing Country Samples. In: International R&D Globalization Conference, , June 25-26, 2007, Tehran, Iran.

Mathew, M and Madhavan, V (2007) Academia's Position in Global Innovation eco Systems (GIS): Perspectives from India. In: Presented at the Second Annual Max Planck-IISc International Conference on Entrepreneurship, Innovation and Economic Growth, held at Dept. of Mgmt. Studies,, 25-27 October, 2007, IISc, Bangalore.

Mathirajan, M and Ramasubramaniam, M (2007) A Class of Integer Programming Models for Scheduling Burn-In Oven of Semiconductor Manufacturing Industries. In: Presented in Second National Conference on Management Science and Practice, , 9-11 March 2007, IIT-Chennai.

Meenakshi, M and Bhat, MSeetharama (2007) Robust flight stability and control for micro air vehicles. In: 3rd International Conference on Autonomous Robots and Agents, DEC 11-14, 2006, Massey Univ.

Meenakshi, M and Bhat, Seetharama M (2007) Robust Fixed Order Lateral H2 Controller for Micro Air Vehicle. In: 9th International Conference on Control, Automation, Robotics and Vision 2006, 5-8 Dec 2006, Singapore .

Melnik, RVN and Mahapatra, DR and Wang, L and Roberts, AJ (2007) Numerical methods for coupled nonlinear problems of dynamic thermoelasticity and shape memory alloys modeling. In: Computational Methods for Coupled Problems in Science and Engineering II (Coupled Problems 2007, Spain), 2007, Bangalore.

Melnik, RVN and Mahapatra, DR and Willatzen, DR and Lassen, B and Lew Yan Voon, LC (2007) Multi-physics self-consistent modeling in nanotechnological applications: quantum dots and quantum-well lasers. In: Int. Conf. on Computational Methods for Coupled Problems in Science and Engineering, 2007, Barcelona.

Melnik, RVN and Willatzen, M and Roy Mahapatra, D and Voon, LCLY (2007) Optoelectronic properties of ZnO/ZnMgO quantum well lasers with coupled self-consistent models. In: SPIE Optics and Photononics Conf., 26-30 August, 2007, San Diego, USA, 26-30 August, 2007, San Diego.

Menezes, Pradeep L and Kishore, * and Kailas, Satish V (2007) Response of Materials during sliding on various surface textures. In: ASME/STLE 2007 International Joint Tribology Conference (IJTC2007) , October 22–24, 2007, San Diego, California.

Miracle, DB and Ranganathan, S and Lord, EA (2007) Atomic size factor criterion in designing Bulk Metallic Glasses. In: Procs. Of Frontiers In The Design Of Materials, , 2007, Chennai, India.

Mishra, Vivek and Bhatnagar, Shalabh and Hemachandra, N (2007) Discrete parameter simulation optimization algorithms with applications to admission control with dependent service times. In: 46th IEEE Conference on Decision and Control, DEC 12-14, 2007, New Orleans, LA.

Mitra, Mira and Gopalakrishnan, S (2007) Axisymmetric Wave Propagation in Single-Walled Carbon Nanotube. In: 48th AIAA /ASME/ASCE/AHS/ASC Structures, Structural Dynamics, and Materials Conference Waikiki, Hawaii, USA, 23-26, April 2007, Hawaii.

Mohabey, Megha and Narahari, Yadati and Mallick, Sudeep and Suresh, P (2007) A combinatorial procurement auction for QoS-aware web services. In: IEEE International Conference on Automation Science and Engineering, SEP 22-25, 2007, Scottsdale, AZ.

Mohabey, Megha and Narahari, Y and Mallick, Sudeep and Suresh, P and Subrahmanya, SV (2007) An intelligent procurement marketplace for web services composition. In: IEEE/ACM/WIC Conference on Web Intelligence, WI-07, Silicon Valley, November 02-November 05, Silicon Valley.

Mohammed, Saif K and Chockalingam, A (2007) Detection of multicode STBC signals in frequency selective fading. In: 18th IEEE International Symposium on Personal, Indoor and Mobile Radio Communication, SEP 03-07, 2007, Athens.

Mohammed, Saif K and Chockalingam, A (2007) Multicode STBC in Frequency-Selective Fading. In: GLOBECOM '07. IEEE Global Telecommunications Conference, 2007., 26-30 Nov. 2007, Washington, DC.

Mohammed, Saif Khan and Rajan, Sundar B and Chockalingam, A (2007) On the maximal rate of non-square STBCs from complex orthogonal designs. In: IEEE Global Telecommunications Conference (GLOBECOM 07), NOV 26-30, 2007, Washington.

Mohan Babu, K and Bhatnagar, Shalabh (2007) Two-timescale Q-learning Algorithms with an Application to Routing in Networks. In: International Conference on Advances in Control and Optimization of Dynamical Systems, ACODS- Bangalore, Feb. 2007, Bangalore.

Mohan Rao, M and Thomas, Joy M and Singh, BP (2007) A wavelet based study of very fast transient currents(VFTC) generated in a GIS during switching operations. In: 15th Intl Symposium on High Voltage Engg., Ljubljana, Slovenia, 27-31 Aug 2007, Ljubljana, Slovenia.

Mondal, AK and Kumar, S and Blawert, C and Dahotre, Narendra B (2007) Effect of laser surface treatment on microstructure and properties of MRI 230D Mg alloy. In: 5th International Conference on Processing and Manufacturing of Advanced Materials, JUL 04-08, 2006, Vancouver.

Mondal, Gopal and Gopakumar, K and Tekwani, PN and Levi, E (2007) A five level inverter scheme with common mode volatge elimination by cascading conventional two level and three level NPC inverters for an induction motor drive. In: Conference on Power Electronics and Applications, 2007 European, 2-5 Sept. 2007 , Aalborg .

Mondal, Gopal and Gopakumar, K and Tekwani, PN and Levi, Emil (2007) A Multilevel inverter structure with cascaded two level and three level inverters for IM drive with CMV elimination and DC link capacitor voltage balancing. In: Conference Record of the 2007 IEEE Industry Applications Conference, 2007. 42nd IAS Annual Meeting. , 23-27 Sept. 2007 , New Orleans, LA .

Mondal, RN and Govardhan, G (2007) Particle Image Velocimetry (PIV) and pressure measurements for supersonic flow over protrusions. In: Conference on Advances in Space Science and Technology (CASST'2007), , 29-31 January 2007, IISc, Bangalore.

Mukerjee, Inderjit and Raghuprasad, BK (2007) Localization induced base isolation in hysteretically damped non linear system. In: 2nd International conference on nonlinear dynamics, National polytechnical University, , 25-29th September 2007, Kharkov.

Mukherjee, Bulbul (2007) Star Sensor Based Angular Rate Estimation. In: CSST2007, ISRO-IISc Space Technology Cell, Aerospace Engineering, January 2007, IISc Bangalore.

Mukherjee, Indrajit and Raghuprasad, BK (2007) Localization induced base isolation in fractionally damped non linear system. In: ASME 2007 International Design Engineering Technical Conferences and Computers and Information in Engineering Conference (IDETC/CIE2007) , September 4–7, 2007, Las Vegas, Nevada.

Mukhopadhyay, Banibrata (2007) Possible Neutrino-Antineutrino Oscillation Under Gravity and its Consequences. In: 11th Marcel Grossmann Meeting, Berlin, 15 Jan 2007, Berlin.

Mukhopadhyay, Banibrata (2007) Secondary Perturbation Effects in Keplerian Accretion Disks: Elliptical Instability. In: 11th Marcel Grossmann Meeting, Berlin, 9 Mar 2007, Berlin.

Murthy, A Sreenivasa and Sekhar, S Chandra and Sreenivas, TV (2007) Robust and High-resolution Voiced/Unvoiced Classification in Noisy Speech Using A Signal Smoothness Criterion. In: Interspeech Conference 2007, August 27-31, 2007, Antwerp, Belgium, pp. 2260-2263.

Murthy, CRL and Bhat, MR (2007) Some Evolutionary Approaches in Experimental Structures. In: Proceedings of the XV-NASAS - National Seminar on Aerospace Structures, 15-16th Oct. 2007.

Murthy, Chandra R and Rao, Bhaskar D (2007) High-rate analysis of channel-optimized vector quantization. In: IEEE International Conference on Acoustics, Speech and Signal Processing, 2007. ICASSP 2007., 15-20 April 2007, Honolulu, HI.

Murthy, OVSN and Kulkarni, GA and Venkataraman, V and Sitharaman, S (2007) Magnetotransport studies in hydrogenated and annealed Mercury Cadmium Telluride thin films. In: 14th International Workshop on the Physics of Semiconductor Devices, DEC 17-20, 2007, Mumbai.

Murugan, S and Ganguli, R and Harursampath, D (2007) Effects of Structural Uncertainty on Aeroelastic Response of Composite Helicopter Rotor. In: 48th AIAA/ ASME/ASCE/AHS/ASC Structures, Structural Dynamics and Materials Conference, 23-26 April 2007, Hawaii, USA.

Muthy, CRL and Venkatesh, HS and Marulappa, YB and Bhat, MR and Venkatramanaiah, B and Sunilkumar, K (2007) Study of Fracture Behaviour in Titanium Alloy using Acoustic Emission Technique. In: Conference on Advances in Space Science and Technology, CASST-07, , January 2007, Bangalore.

Nagarakatte, Santosh G and Govindarajan, R (2007) Register Allocation and Optimal Spill code Scheduling in Software Pipelined Loops using 0-1 Integer Linear Programming Formulation. In: CC'07 Proceedings of the 16th international conference on Compiler construction , Heidelberg.

Nagori, Soyeb and Rao, Ajit and Ramakrishnan, KR (2007) Perceptually motivated Rated Controller for Video coding. In: IET International Conference on Visual Information Engineering 2006 VIE 2006, 26-28 Sept. 2006, Bangalore, India.

Nagpal, Rahul and Madan, Arvind and Bhardwaj, Amrutur and Srikant, YN (2007) INTACTE: An Interconnect Area, Delay, and Energy Estimation Tool for Microarchitectural Explorations. In: ACM CASES, October 2007.

Nagpal, Rahul and Srikant, YN (2007) Compiler-assisted instruction decoder energy optimization for clustered VLIW architectures. In: 14th International Conference on High Performance Computing (HiPC 2007), DEC 18-21, 2007, Goa.

Nagpal, Rahul and Srikant, YN (2007) Register File Energy Optimization for Snooping Based Clustered VLIW Architectures. In: 19th International Symposium on Computer Architecture and High Performance Computing, 24-27 Oct. 2007 , Rio Grande do Sul .

Nair, Nishanth Ulhas and Sreenivas, TV (2007) Joint decoding of multiple speech patterns for robust speech recognition. In: IEEE Workshop on Automatic Speech Recognition and Understanding, DEC 09-13, 2007, Kyoto.

Nanda, KK (2007) Carbon nanotube bundles for low temperature measurements. In: IUMRS-ICAM 2007 , 2007.

Nandi, Anil V and Patnaik, LM and Banakar, RM (2007) VLSI Implementation of Spatial prediction Based Image Compression Scheme. In: First International Conference on Industrial and Information Systems, , 8-11 Aug. 2006 , Peradeniya .

Nanjundiah, RS (2007) Grid Computing and HPC for Climate Studies. In: EU-India Grid Computing Workshop, 27-28 Sept 2007, Pune.

Nanjundiah, RS (2007) Monsoons, Climate System Models and HPC: A User's Perspective. In: International HPC User's Forum Conference, 28 Feb 01 March 2007, IIT, Delhi.

Nanjundiah, RS (2007) Simulation of Indian Summer Monsoon: Role of Oceans, Mountains and Aerosols. In: Celebrating the Monsoon: International conference on Monsoons, 24-28 July 2007, Bangalore.

Narahari, Y and Srivastava, Nikesh Kumar (2007) A Bayesian incentive compatible mechanism for decentralized supply chain formation. In: 9th IEEE International Conference on E-Commerce Technology/4th IEEE International Conference on Enterprise Computing, E-Commerce and E-Services, JUL 23-26, Tokyo, Japan.

Neelam, K and Krupanidhi, SB and Varma, KBR (2007) Optical properties of Bi2VO5.5 thin films on platinized silicon measured by spectroscopic ellipsometry. In: IUMRS-ICAM 2007 , 8-13, October 2007 .

Neelam, K and Krupanidhi, SB and Varma, KBR (2007) Structural, dielectric and ferroelectric properties of Bismuth vanadate thin films grown by pulsed laser Deposition on {(200) Pt/TiO2/SiO2/Si} substrates. In: National Seminar on Electroceramics (NSE-2007) , 5-6, Nov. 2007.

Negesha, N and Bala Subrahmanya, MH and Balachandra, P (2007) Energy Efficiency Level in Small-Scale Industry Clusters: Does Entrepreneurial factor play any role? In: Presented at the Second Annual Max Planck-IISc International Conference on Entrepreneurship, Innovation and Economic Growth, held at Dept. of Mgmt. Studies, , 25-27 October 2007, IISc, Bangalore.

Nongthomba, U and Ansari, M and Thimmaiya, D and Stark, M and Sparrow, JC (2007) Aberrant splicing of a novel exon in the Drosophila troponin-T gene affects flight muscle development. In: 20th European Drosophila Research Conference , 12-14 Sep. 2007.

Nukala, Suguna Sree and Lolla, Kameswara Rao (2007) Novel coded pattern for the measurement of small angles using an imaging method. In: Proceedings of the National Symposium on Instrumentation (NSI-32).

Omkar, SN and Kumar, Manoj and Muley, Dipti (2007) Urban satellite image classification using biologically inspired techniques. In: IEEE International Symposium on Industrial Electronics, JUN 04-07, 2007, Vigo.

Omrani, Reza and Bhambhani, Pankaj and Kumar, Vijay P (2007) Sequences for Phase-Encoded Optical CDMA. In: SSC 2007, Los Angeles, CA, USA, June 2007 , Los Angeles, CA.

Oommen, G and Jagdeesh, G and Raghunandan, BN (2007) Studies on micro-explosive driven blast wave propagation in confined domains using NONEL tubes. In: Proceedings of the International Shock Wave Symposium. ISSW-26, July 15-20 2007.

Padakandla, Arun and Sundaresan, Rajesh (2007) On the duality between rate and power optimizations. In: IEEE International Symposium on Information Theory, JUN 24-29, 2007, Nice.

Padakandla, Arun and Sundaresan, Rajesh (2007) Optimality of orthogonal sequences with multi-dimensional signaling. In: Proceedings of the 2007 National Conference on Communication, Kanpur, Jan.2007, Kanpur.

Padhi, R and Rao, PN and Goyal, S and Balakrishnan, SN (2007) Command Tracking in High Performance Aircrafts: A New Dynamic Inversion Design. In: 17th IFAC Symposium on Automatic Control in Aerospace, Touoluse, France, 2007, Touoluse.

Padhi, Radhakant and Bhatia, Namrata (2007) Nonlinear Model Predictive Spread Acceleration Guidance for High Speed Targets. In: International Conference on Advances in Control and Optimization of Dynamical Systems, Bangalore, India, 2007, Bangalore.

Padhi, Radhakant and Narayana Rao, P and Goyal, Siddharth and Tripathi, Abha (2007) Model-following Neuro-adaptive approach for robust control of high performance aircrafts. In: International Conference on Advances in Control and Optimization of Dynamical Systems, Bangalore, India, Bangalore.

Padhi, Radhakant and Xin, Ming and Balakrishnan, SN (2007) Reduced Order Suboptimal Control Design for a Class of Nonlinear Distributed Parameter Systems Using POD and Techniques. In: American Control Conference, New York, USA, New York.

Pai, Sreepathi and Govindarajan, R and Thazhuthaveetil, MJ (2007) Limits of Data Level Parallelism. In: 14th Annual IEEE International Conference on High Performance Computing (HiPC), Goa, December 2007 (poster presentation), December 2007, Goa.

Pal, Ashish and Das, Saptarshi and Ray, Biswajit and Mahapatra, Santanu (2007) A New Spice Simulator for Single Electron Transistor Based Integrated Circuits. In: VLSI Design And Test Symposium.

Pancheri, G and Godbole, RM and Grau, A and Srivastava, YN (2007) Total cross-section at LHC from minijets and soft gluon resummation in the infrared region. In: Euridice Meeting on Effective Theories of Colours and Flavours - from EURODAPHNE to EURIDICE, AUG 24-27, 2006, Kazimierz.

Pandey, AK and Pratap, R (2007) Squeeze Film Damping in Perforated MEMS Torsion Mirror. In: International conference on Emerging Mechanical Technology MACRO TO NANO (EMTM2N-2007), , 16-18 February 2007, BITS Pilani.

Pandey, AK and Pratap, R and Chau, Fook Siong (2007) Experimental Study of Fluid Damping in Micro Devices with Flow Ranging from Continuum to Molecular Regime. In: MOEMS-MEMS 2007 Micro and Nanofabrication, , 2007, San Jose, CA.

Pandey, Jagdish Nayayan and Kudva, Sudhir S and Amrutur, Bharadwaj (2007) A Low Power Frequency Multiplication Technique for Zigbee Transceiver. In: 20th International Conference on VLSI Design, 2007. Held jointly with 6th International Conference on Embedded Systems., 6-10 Jan. 2007 , Bangalore.

Pandey, PC (2007) Overview of composite materials. In: National Seminar on Fibre Reinforced Composites,Kongu Engg. College,, 4th-5th Oct 2007, Tamil Nadu.

Patel, Nayan B and Mahapatra, Santanu (2007) A Simulation Based Study and Analysis of Double Gate Tunnel FET Performance for Low Stand-By Power Applications. In: 11th VDAT Symposium - August 8-11, 2007 - Kolkata, August 8-11, Kolkata.

Patel, Nayan B and Mahapatra, Santanu (2007) Tunnel FET - A Novel Device with Sub-Threshold Swing less than 60 mV/decade for Future Low Stand-by Power Applications. In: National Conference on VLSI and Communication Engineering.

Pati, Peeta Basa and Ramakrishnan, AG (2007) A blind indic script recognizer for multi-script documents. In: 9th International Conference on Document Analysis and Recognition, 23-26 Sept. 2007, Curitiba, BRAZIL.

Patnaik, LM and Sarma, IG and Viswanadham, N (2007) Discrete control algorithms for a tubular ammonia reactor. In: 1978 IEEE Conference on Decision and Control including the 17th Symposium on Adaptive Processes, Jan. 1978.

Patnaik, LM and Viewanadham, N and Sarma, IG (2007) Identification and optimization of ammonia reactors through hybrid simulation. In: 1977 IEEE Conference on Decision and Control including the 16th Symposium on Adaptive Processes and A Special Symposium on Fuzzy Set Theory and Applications, Dec. 1977.

Patra, TK and Kuri, J and Nuggehalli, P (2007) On Optimal Performance in Mobile Ad-Hoc Networks. In: 2nd International Conference on Communication Systems Software and Middleware, 2007. COMSWARE 2007., 7-12 Jan. 2007, Bangalore.

Poonawala, Hasan and Krishnanand, KN and Ghose, D (2007) Design of a quadrotor micro air vehicle. In: Proceedings of the Conference on Advances in Space Science and Technology (CASST'2007), Bangalore, India, January 2007, Bangalore.

Prabhakar, TV and Rao, NV Chalapathi and Sujay, MS and Panchard, Jacques and Jamadagni, HS and Pittet, Andre (2007) Sensor network deployment for agronomical data gathering in semi-arid regions. In: 2nd International Conference on Communication Systems Software and Middleware, JAN 07-12, 2007, Bangalore, INDIA,.

Prakash, Hastagiri and Narahari, Y (2007) Incentive Compatible Mechanisms for Resource Procurement in Computational Grids with Rational resource Providers. In: Proceedings of the International Conference on Advances in Control and Optimization of Dynamical Systems, ACODS 2007, Feb. 2007.

Prasad, Nitin Rakesh and Mondal, Partha P and Kanhirodan, Rajan (2007) A New Iterative FIR Filter for Image and Video Restoration. In: 5th IEEE International Conference on Cognitive Informatics 2006 ICCI 2006, 17-19 July 2006, Beijing.

Prasad, V and Mohana, * and Amoghavarsha, M (2007) Chemical Gas Sensors based on Carbon-Polymer nanocomposite films. In: CGS03, SENNET '07 Intl Conf on Sensors & Related Networks, Dec. 12-14, 2007 , Tamilnadu.

Prasad, V and Mohana, * and Arya, Ved Prakash (2007) Low temperature magnetotransport of carbon-polymer nanocomposite films. In: Tp-129 Intl Conf.on Condensed Matter Physics (ICCMP 2007), 25-28 Nov. 2007, Jaipur.

Prasad, V and Venkatesha, * and Arya, Ved Prakash and Divya, VD and Shekhar, S (2007) Electrical transport studies on magnetic carbon polymer nanocomposite film. In: Advanced Nano Materials, IT Bombay, India .

Prasanna, G and Bhat, MR and Murthy, CRL (2007) Acoustic Emission Source Location on an Arbitrary Surface by Geodesic Curve Evolution. In: Proceedings of the 6th International Conference on Advances in Acoustic Emission, Oct-07, Nov-2007, Lake Tahoe Nevada.

Prasanna, HM and Ghose, D (2007) A generalized guidance law for intercepting high speed targets. In: Proceedings of International Conference on Advances in Control and Optimization of Dynamical Systems (ACODS2007), Bangalore, India, February 2007, Bangalore.

Prasanna Kumar, P and Reddy, Venkatarama BV (2007) Moisture content and compressive strength relationships for cement stabilised rammed earth walls. In: Proceedings of International Symposium on Earthen Structures, Interline Publishers,, 22-24 Aug. 2007, Bangalore, India.

Raghavan, Srinivasa NR and Srinivasan, R and Srinidhi, S (2007) Scheduling Parallel Batch Machines with Incompatible Jobs. In: Entrepreneurship Information Systems and Web Technologies (EISWT) Conference, July 09-12, 2007.

Raghavendra, BS and Dutt, D Narayana (2007) Correction of Ocular Artifacts in EEG Recordings using Empirical Mode Decomposition. In: National Conference on Communication (NCC-2007), 2007, Kanpur.

Raghavendra, RG and Amrutur, Bharadwaj (2007) Area Efficient Loop Filter Design for Charge Pump Phase Locked Loop. In: Glsvlsi'07: proceedings of the 2007 acm great lakes symposium on vlsi, Mar,11-13, 2007, Sigda, pp. 148-151.

Raghu, K and Mohammed, SK and Chockalingam, A (2007) A Distributed Approach to Interference Cancellation. In: GLOBECOM '07. IEEE Global Telecommunications Conference, 2007., 26-30 Nov. 2007 , Washington, DC .

Raghuprasad, BK (2007) Requirement of ductility in earthquake resistant design - Can fracture mechanics help? In: National Conference on Earthquake Engineering NCEE 2007, Thiagarajar College of Engineering, , 18-19th December 2007, Madurai.

Raghuprasad, BK and Gopalakrishnan, N (2007) Damage Identification from static measurements. In: Structural Engineers World Congress 2007 (SEWC 2007), 2-7 November 2007.

Raghuprasad, BK and Rao, TVRL and Gopalakrishnan, AR (2007) Initial stiffness method to analyse mode 1 fracture behaviour of plain concrete beams. In: International Conference on Computational Experimental Engineering and Sciences07 (ICCES07),, January 3-8, 2007, Miami, Florida.

Raghuprasad, BK and Rao, TVRL and Gopalakrishnan, AR (2007) Modified lattice model for mode I fracture analysis of notched plain concrete beam using probabilistic approach. In: 6th International Conference on Fracture and Damage Mechanics Madeira,, 17-19 July 2007, Portugal.

Raghuprasad, BK and Rao, TVRL and Gopalakrishnan, AR (2007) A study of size effect in a notched plain concrete beam using initial stiffness method. In: ASME Applied Mechanics and Materials Conference, University of Texas at Austin, MCMAT, June 3-7, 2007, Austin.

Raghuprasad, BK and Renuka Devi, MV (2007) Extension of Fictitious Crack Model Self Affine cracks (Keynote paper). In: International Conference on Computational and Experimental Engineering and Sciences 07 (ICCES07),, January 3-8, 2007, Miami Florida.

Raghuprasad, BK and Renuka Devi, MV (2007) Influence of tortuousity of crack on fracture energy of plain concrete beam. In: International Conference on Recent developments in Structural Engineering RDSE2007, , August 30, 31 & September 1, 2007, Manipal.

Raghuprasad, BK and Sagar, Vidya R (2007) An Experimental study on b-Value analysis of AE connected to fracture of RCC beam. In: Seminar on non destructive testing NDE-2007, , November 28-30th, 2007, Vodadara.

Raghuprasad, BK and Sagar, Vidya R (2007) An Experimental study on the development of fracture process in plain concrete beam using b-value analysis of AE signals - New trends in fracture mechanics of concrete. In: Proceedings of Fracture Mechanics of Concrete Structures, , June 2007, Catania, Italy.

Raghuwanshi, SK and Srinivas, T (2007) Mode identification in step index circular waveguides. In: ICMR 2007-Microwave and optoelectronics , Dec.2007.

Raguraman, M and Deb, A (2007) Implementation of agile manufacturing through platform-based vehicle design. In: National Conference on Automotive Manufacturing , , February 2007, Coimbatore, India.

Rajagopal, K and Anoop, KP (2007) Reconstruction from laterally truncated projection data in helical cone beam CT. In: Fully 3D image reconstruction in Radiology & nuclear Medicine, 9-13, July 2007.

Rajagopal, S and Pillai, ACR and Lurdharaj, A and Ganguli, R (2007) Conceptual Design of Medium Altitude Long Endurance UAV using Multi Objective Genetic Algorithm. In: 48th AIAA/ASME/ASCE/AHS/ASC Structures, Structural Dynamics and Materials Conference, Hawaii, USA, 23-26 April 2007, Hawaii.

Rajan, B Sundar and Rajan, G Susinder (2007) STBC's from representation of extended Clifford Algebras. In: IEEE International Symposium on Information Theory, JUN 24-29, 2007, Nice, FRANCE.

Rajan, G Susinder and Rajan, B Sundar (2007) Algebraic distributed space-time codes with low ML decoding complexity. In: IEEE International Symposium on Information Theory, 24-29 June 2007, Nice.

Rajan, G Susinder and Rajan, B Sundar (2007) Distributed space-time codes for cooperative networks with partial CSI. In: IEEE Wireless Communications and Networking Conference, MAR 11-15, 2007, Hong Kong,.

Rajan, G Susinder and Rajan, B Sundar (2007) Four group decodable differential scaled unitary linear space-time codes. In: IEEE Global Telecommunications Conference (GLOBECOM 07), NOV 26-30, 2007, Washington, DC.

Rajan, G Susinder and Rajan, B Sundar (2007) Noncoherent low-decoding-complexity space-time codes for wireless relay networks. In: IEEE International Symposium on Information Theory, JUN 24-29, 2007, Nice.

Rajan, G Susinder and Rajan, B Sundar (2007) Signal set design for full-diversity low-decoding-complexity differential scaled-unitary STBCs. In: IEEE International Symposium on Information Theory, JUN 24-29, 2007, Nice.

Rajan, G Susinder and Rajan, B Sundar (2007) A non-orthogonal cooperative multiple access (NCMA) protocol and low ML decoding complexity codes. In: IEEE Wireless Communications and Networking Conference, MAR 11-15, 2007, Hong Kong.

Rajan, G.Susinder and Rajan, B.Sundar (2007) Signal set design for full-diversity low-decoding-complexity differential scaled-unitary STBCs. In: IEEE International Symposium on Information Theory, JUN 24-29, 2007, Nice, FRANCE.

Rajan, Kaushik and Ramaswamy, Govindarajan (2007) Emulating Optimal Replacement with a Shepherd Cache. In: MICRO 40 Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture, Washington, DC.

Rajan, N and Prasad, UR (2007) The pursuit-evasion problem of two aircraft in a horizontal plane. In: 1975 IEEE Conference on Decision and Control including the 14th Symposium on Adaptive Processes, Dec. 1975.

Rajan, Susinder G and Tandon, Anshoo and Rajan, Sundar B (2007) On four-group ML decodable distributed space time codes for cooperative communication. In: IEEE Wireless Communications and Networking Conference, 11 - 15 Mar 2007, Hong Kong.

Rajeev, N (2007) Do inventory management practices affect economic performance? An empirical evaluation of the machine tool SMEs in Bangalore. In: IEEE International Conference on Industrial Engineering and Engineering Management, DEC 02-05, 2007, Singapore.

Rajendran, CP and Rajendran, Kusala and Anu, R and Earnest, Anil and Machado, Terry and Mohan, PM and Freymueller, Jeffrey (2007) Crustal Deformation and Seismic History Associated with the 2004 Indian Ocean Earthquake: A Perspective from the Andaman–Nicobar Islands. In: Bulletin of the Seismological Society of America, January 2007, America.

Rajesh, R and Sharma, Vinod (2007) Source-channel coding for Gaussian sources over a Gaussian multiple access channel. In: 45th Annual Allerton Conference on Communication, Control and Computing, USA, Sept.2007, USA.

Rajesh Kumar, TS and Ravikumar, CP and Govindarajan, R (2007) MAX: A Multi Objective Memory Architecture eXploration Framework for Embedded Systems-on-Chip. In: 20th International Conference on VLSI Design, 2007. Held jointly with 6th International Conference on Embedded Systems., Jan. 2007 , Bangalore.

Rajesh Kumar, TS and Ravikumar, CP and Govindarajan, R (2007) MODLEX: A Multi Objective Data Layout EXploration Framework for Embedded Systems-on-Chip. In: inProc. of the 12th Asia and South Pacific Design Automation Conference (ASP-DAC-07), Yokohama, Japan, 2007, 23-26 Jan. 2007 , Yokohama .

Rama Suri, N and Narahari, Y (2007) Broadcast in Adhoc Wireless Networks with Selfish Nodes: A Bayesian Incentive Compatibility Approach. In: 2nd International Conference on Communication Systems Software and Middleware, 2007. COMSWARE 2007. , 7-12 Jan. 2007, Bangalore.

Ramachandra, TV (2007) Watershed management using geospatial technologies. In: In the proceedings of the workshop GIS and its applications in Civil Engineering, Organised by Department of Civil Engineering, Kumaraguru College of Technology, Coimbatore, 27th March 2007, Coimbatore.

Ramaiyan, Venkatesh and Kumar, Anurag (2007) On the Limits of Spatial Reuse and Cooperative Communication for Dense Wireless Networks. In: Information Theory for Wireless Networks, 2007 IEEE Information Theory Workshop on , 1-6 July 2007 , Solstrand .

Ramaiyan, Venkatesh and Kumar, Anurag and Altman, Eitan (2007) Jointly optimal power control and routing for a single cell, dense, ad hoc wireless network. In: 5th International Symposium on Modeling and Optimization in Mobile, Ad Hoc and Wireless Networks and Workshop, APR 16-20, 2007, Limassol.

Ramakrishna, A and Viswanadham, N (2007) Decentralized dynamic compensators for large multivariable systems. In: 1981 20th IEEE Conference on Decision and Control including the Symposium on Adaptive Processes, Dec. 1981.

Ramakrishnan, Kandan and Arvind, KR and Ramakrishnan, AG (2007) Localization of handwritten text in documents using moment invariants and Delaunay triangulation. In: 7th International Conference on Computational Intelligence and Multimedia Applications, DEC 13-15, 2007, Sivakasi, Tamil Nadu.

Ramanujam, Madhavan and Ramanarayanan, V (2007) Effect of Annular Secondary Conductor in a Linear Electromagnetic Stirrer. In: Proc. of National Power Electronics Conference NPEC'2007 Bangalore, Dec. 2007, Bangalore.

Ramanujan, GA and Thawani, Amit and Sridhar, V and Gopinath, K (2007) Optimizing Multimedia Experience in a Thin Client Environment for a Resource Constrained Processor. In: IWCMC '07 Proceedings of the 2007 international conference on Wireless communications and mobile computing , August 2007, New York, NY.

Ramu, L and Ramesh, KP and Chandramani, R (2007) Temperature dependence of 35Cl NQR and molecular dynamics in Orthochloro benzoic acid. In: Proc. Of 52nd DAE Solid State Physics Symposium, Dec 27-31, 2007, Mysore.

Rao, MV Panduranga (2007) Bounding Run-Times of Local Adiabatic Algorithms. In: 4th International Conference on Theory and Applications of Models of Computation, MAY 22-25, 2007, Shanghai.

Rao, Ramakrishna A and Kumar, Bimlesh (2007) Economizing the Energy Consumption in Circular Surface Aerator. In: International conference on Environment: Survival and Sustainability, Cyprus, Turkey, 19-24th February 2007, Cyprus, Turkey.

Rao, Ramakrishna A and Kumar, Bimlesh and Sreenivasulu, Gopu (2007) Friction Factor For Pipe Flow: Basic Formulations. In: Workshop on the Design Analysis of Water Distribution Network, Hosur, Tamilnadu, 17th -21st September 2007, Hosur, Tamilnadu.

Rao, Ramakrishna A and Sreenivasulu, Gopu and Kumar, Bimlesh (2007) Estimation of Hazen-Williams 'C' and Optimal Diameter of Pipe Line. In: Workshop on the Design Analysis of Water Distribution Network, Hosur, Tamilnadu , 17th -21st September 2007, Hosur, Tamilnadu.

Rao, Sudhakar and Reddy, Venkatarama BV (2007) Characterization of ash-modified soils from Karnataka. In: International Symposium on Earthen Structures, , 22 – 24 Aug. 2007 May 2007, Bangalore, India.

Rao Mattapally, Baskar and Bhat, MR and Murthy, CRL (2007) Health Monitoring Of Composite Structures Based On Acoustic Wave Sensing Using Fiber Optic Sensors. In: Proceedings of International Conference on Advanced NDE-ANDE, Nov 2007, Korea.

Ratnoo, A and Ghose, D (2007) Pulsed guidance using collision heading approach. In: Proceedings of International Conference on Advances in Control and Optimization of Dynamical Systems (ACODS2007), Bangalore, India, February 2007, Bangalore.

Ratnoo, A and Ghose, D (2007) SDRE based guidance law for impact angle constrained trajectories. In: Proceedings of the AIAA Conference on Guidance, Navigation, and Control, Hilton Head, USA, Hilton Head.

Ravikrishna, RV (2007) Reacting Flow Simulations with Detailed Chemistry: Applications in IC Engines & Gas Turbines. In: Seventh Asian CFD Conference, , 2007, Bangalore, India.

Ravikumar, B and Thukaram, D and Khincha, HP (2007) Co-ordination of Distance Relays Using Support Vector Machines. In: International Conference on Power Systems Central Power Research Institute, Bangalore, INDIA, 12-14 Dec. 2007, Bangalore.

Ray, Biswajit and Shubhakar, * and Mahapatra, Santanu (2007) Necessity for quantum mechanical simulation for the future technology nodes. In: 14th International Workshop on the Physics of Semiconductor Devices, DEC 17-20, 2007, Mumbai.

Reddappa, P and Jagadeesh, G and Nayak, MM (2007) Direct measurement of surface skin friction in hypersonic shock tunnel (HST2). In: Conference on Advances in Space Science and Technology, CASST-07,, 2007, IISc, Bangalore.

Reddy, Siva Sankar S and Narahari, Y (2007) Bidding Dynamics of Rational Advertisers in Sponsored Search Auctions on the Web. In: Proceedings of the International Conference on Advances in Control and Optimization of Dynamical Systems, ACODS-2007, Bangalore, Feb. 2007, Bangalore.

Reddy, Venkatarama BV (2007) Indian Standard code of practice for manufacture and use of stabilised mud blocks for masonry. In: Proceedings of International Symposium on Earthen Structures, Interline Publishers,, 22-24 Aug. 2007, Bangalore, India.

Roessler, S and Ernst, S and Padmanabhan, B and Elizabeth, Suja and Bhat, HL and Wirth, S and Steglich, F (2007) Scanning Tunneling Spectroscopy on Pr0.68Pb0.32MnO3 single crystals. In: 10th Joint Magnetism and Magnetic Materials Conference/International Magnetics Conference, JAN 07-11, 2007, Baltimore.

Roessler, S and Ernst, S and Padmanabhan, B and Elizabeth, Suja and Bhat, HL and Wirth, S and Steglich, F (2007) Scanning Tunneling Spectroscopy on Pr0.68Pb0.32MnO3 single crystals. In: 10th Joint Magnetism and Magnetic Materials Conference/International Magnetics Conference, JAN 07-11, 2007, Baltimore.

Rossler, S and Ernst, S and Padmanabhan, B and Elizabeth, Suja and Bhat, HL and Wirth, S and Steglich, F (2007) Scanning Tunneling Spectroscopy on Pr0.68Pb0.32MnO3 single crystals. In: 10th Joint Magnetism and Magnetic Materials Conference/International Magnetics Conference, Jan 07-11, 2007, Washington, DC, USA, 3064 -3066.

Roy, Ayan and Vinoy, KJ (2007) A CAD Based Approach for Design and Optimization of Periodic Planar Microwave Circuits. In: CASST'2007 Conference on Advances in Space Science and Technology, Bangalore, Jan.2007, Bangalore.

Roy, D (2007) A NURBS-based Parametric Procedure for Mesh-free and Mesh-based Formulations. In: Special Symposium on Meshless Methods, University of Patras, Greece, June 16, 2007, Greece.

Roy, D and Shaw, Amit (2007) An isogeometric error reproducing and interpolating method for mesh-free approximations. In: ICCES-07, Jan. 3-8, 2007, Miami, USA.

Roy, Subhajit and Srikant, YN (2007) Partial Flow Sensitivity. In: HiPC2007, Dec. 2007.

Roy Mahapatra, D and Melnik, RVN (2007) Mesoscopic model for electroactive composite films and its applications. In: Meso Mechanics 2007, May 13-17, Presqu'île de Giens southern France.

Roy Mahapatra, D and Willatzen, M and Lassen, B and Voon, LCLY and Melnik, RVN (2007) Multiscale modeling of quantum dots: Between atomistic and continuum worlds. In: Meso Mechanics 2007, May 13-17, Presqu'île de Giens southern France.

Saggini, S and Stefanutti, W and Tedeschi, E and Mattavelli, Paolo (2007) Digital Deadbeat Control Tuning for dc-dc Converters Using Error Correlation. In: IEEE Transactions on Power Electronics, July 2007, Bangalore.

Sain, Trisha and Kishen, Chandra JM (2007) Probabilistic Assessment of Fatigue Crack Propagation in Concrete. In: Sixth International Conference on Fracture Mechanics of Concrete and Concrete Structures (Framcos6), (Editors: Carpinteri et al.), June 17-22, 2007, Catania, Italy.

Saketha Nath, J and Bhattacharyya, C (2007) Maximum Margin Classifiers with Specified False Positive and False Negative Error Rates. In: Proceedings of the SDM Conference.

Saloni, VN and Ashwini, BS and Neetu, AS and Ranjani, R and Mathirajan, M (2007) Scheduling Job-Dependent Multiple Batch Processors with Non-Agreeable Release Times and Due Dates. In: Presented in 40th Annual Conference of Operational Research Society of India, , 4-6, Dec. 2007, INSA, Delhi.

Samuel, Mathews P and Mukhopadhyay, C (2007) Failure Rate Modeling and Estimation of a Repairable Series System. In: Presented at the Joint Statistical Meeting and International Conference on Statistics, Probability and Related Areas held at the Dept. of Statistics, University of Cochin, January 2007, Cochin.

Sanan, Siddharth and Singh, Sarthj and Madhava Krishna, K (2007) Controlling an Actively Articulated Suspension Vehicle for Mobility in Rough Terrain. In: 10th International Conference on Climbing and Walking Robots (CLAWAR 2007), Jul. 16-18,2007, Singapore.

Sandeep, S and Venugopal, V and Nanjundiah, R (2007) The Mumbai rainfall event of 26 July 2005 through the lens of a cloud-resolving model. In: Celebrating the Monsoon: International conference on Monsoons, 24-28 July 2007, IISc, Bangalore.

Saravanan, S and Jagadeesh, G and Reddy, KPJ (2007) Experimental investigation of heat transfer reduction by forward facing cavity for missile shaped bodies flying at hypersonic speeds. In: 26th International Symposium on Shock Waves, Gottingen, Germany, 2007, Gottingen.

Saravanan, S and Jagadeesh, G and Reddy, KPJ (2007) Measurement of aerodynamic forces and moments for missile shaped bodies in hypersonic shock tunnel using 6-component accelerometer based balance system. In: 26th International Symposium on Shock Waves, Gottingen, Germany, 2007, Gottingen.

Sarkar, Abhijit and Sonti, Venkata (2007) An asymptotic approach for the coupled dispersion characteristics of a fluid-filled cylindrical shell. In: 14th International Conference on Sound and Vibration, ICSV14, July 9-12, 2007, Cairns, Australia.

Sarkar, Abhijit and Sonti, Venkata (2007) An asymptotic approach for the coupled dispersion characteristics of a plate loaded with a fluid column. In: ICTACEM 2007, , Dec 27-29, 2007, IIT KGP, India.

Sarkar, P and Chakrabarti, A (2007) Assessing Innovation through Product Analysis. In: A Product Lifecycle Approach, Regalla Srinivasa Prakash and Kuldip Sangwan (Editors),, 2007, New Age International, India.

Sarkar, P and Chakrabarti, A (2007) Understanding Search in Design. In: International Conference on Engineering Design (ICED07),, August 2007, Paris, France.

Sarkar, Prabir and Chakrabarti, Amaresh (2007) Development of a Method for Assessing Design Creativity. In: Proceedings of the 16th International Conference on Engineering Design (ICED07), August 2007, Paris, France.

Sarkar, Prabir and Chakrabarti, Amaresh (2007) A tool for Supporting Protocol Analysis. In: Proceedings of the 16th International Conference on Engineering Design (ICED07), August 2007, Paris, France.

Sarkar, Prabir and Phaneendra, S and Chakrabarti, Amaresh (2007) Developing Novel Technical Systems using Ideas from Nature. In: Proceedings of the 16th International Conference on Engineering Design (ICED07), August 2007, Paris, France.

Satak, Neha and Seetharama Bhat, M and Somnath, Amith and Chakrabarty, Anjan and Bera, Titas (2007) Validation of Flight control systems for a Biplane Micro Air Vehicle Zephyr through HILS. In: 21 CD Proceedings International dSpace User's Conference, Sepember 2007, Bangalore.

Sathe, Chaitanya and Mahapatra, Santanu (2007) Modeling and Analysis of Noise Margin in SET Logic. In: 20th International Conference on VLSI Design held jointly with the 6th International Conference on Embedded Systems, JAN 06-10, 2007, Bangalore.

Satheesh, K and Jagadeesh, G (2007) Measurement of shock stand-off distance on a 120 deg. Blunt cone model at hypersonic Mach number in Argon. In: 26th International Symposium on Shock Waves, Gottingen, Germany, 2007, Gottingen.

Satish, L and Saravanakumar, A (2007) What Terminal Configuration to Use for SFR Measurements on 1- and 2- Transformers? In: CIGRE SC A1 & D1 Joint Colloquium, Gyeongju, Korea, Oct 24, 2007, Gyeongju, Korea.

Satish, L and Subrat, KS (2007) Some Thoughts on Location of Faults along a Transformer Winding. In: CIGRE SC A1 & D1 Joint Colloquium Gyeongju, Korea, Oct 24, 2007, Gyeongju, Korea.

Satrawala, AN and Varadarajan, Keshavan and Alle, Mythri and Nandy, SK and Narayan, Ranjani (2007) REDEFINE: Architecture of a SoC Fabric for Runtime Composition of Computation Structures. In: Proceedings of the International Conference on Field Programmable Logic and Applications, FPL 2007, Amsterdam, Aug 2007., 27-29 Aug. 2007 , Amsterdam .

Satyanarayana, G and Sarma, IG and Prasad, UR (2007) Optimal control for the rolling pullout maneuver of a modern fighter aircraft. In: 1975 IEEE Conference on Decision and Control including the 14th Symposium on Adaptive Processes, Dec. 1975.

Seleen, Anthony and Hemalatha, KS and Asokan, S and Rukmani, K (2007) Al MAS NMR Studies on Al-Ge-Te Chalcogenide Glasses. In: DAE Symposium on Solid State Physics, University of Mysore, 27 – 31st Dec. 2007, Mysore.

Sen, Rathijit and Srikant, YN (2007) Executable Analysis using Abstract Interpretation with Circular Linear Progressions. In: 5th IEEE/ACM International Conference on Formal Methods and Models for Codesign, 2007. MEMOCODE 2007., May 30 2007-June 2 2007 , Nice.

Sen, Rathijit and Srikant, YN (2007) WCET Estimation for Executables in the Presence of Data Caches. In: EMSOFT '07 Proceedings of the 7th ACM & IEEE international conference on Embedded software, October 2007, New York, NY.

Seshagiri, PB (2007) Principles of early mammalian development and applications. In: National Workshop Meeting on Recent Trends in Modern Biology. Monash University, Malaysia, May 22, 2007, Malaysia.

Seshagiri, PB (2007) Sperm phospho-proteome: it's significance. In: Conference on Recent Advances and Cha-llenges in Reproduc-tive Health Research & 17th Annual Meet-ing of the Indian Society for the Study of Reproduction and Fertility, 19-21 February 2007, New Delhi.

Seshikanth Varma, CMB and Asharaf, S and Narasimha Murty, M (2007) Rough Core Vector Clustering. In: IICAI'07, Pune, Dec. 2007, Pune.

Shajahan, TK and Sinha, S and Pandit, R (2007) The Mathematical modeling of inhomogeneites in ventricular tissue. In: Proceedings of the Conference on Complex Dynamics of Physiological Systems: From Heart to Brain held at Presidency College, Kolkata, India, 12-14 February 2007, Kolkata, India.

Shakkottai, Srinivas and Altman, Eitan and Kumar, Anurag (2007) The Case for Non-Cooperative Multihoming of Users to Access Points in IEEE 802.11 WLANs. In: 25th IEEE International Conference on Computer Communications. Proceedings INFOCOM 2006., April 2006 , Barcelona, Spain .

Shankar, Priti (2007) Combinatorial Representations of Block Codes. In: International Conference on Advances in Interdisciplinary Statistics and Combinatorics, October 12{14, 2007, University of North Carolina at Greensboro, Greensboro, North Carolina, USA, October 12-14, 2007, Greensboro, North Carolina.

Shanker, M Ravi and Muralishankar, R and Ramakrishnan, AG (2007) Bauer Method of MVDR Spectral Factorization for Pitch Modification in the Source Domain. In: IEEE Workshop on Applications of Signal Processing to Audio and Acoutics, OCT 21-24, 2007, New Paltz, NY, USA.

Sharma, Vinod and Prasad, DK and Altman, Eitan (2007) Opportunistic scheduling of wireless links. In: ITC20'07 Proceedings of the 20th international teletraffic conference on Managing traffic performance in converged networks, June 2007 , Heidelberg.

Shastry, Bhargava S and Shenoy, UJ and Parthasarathy, K and Khincha, HP (2007) MATLAB Based Evaluation Study of Adaptive Technique and Three Sample Fixed Data Window Algorithm with Particular Reference to development of DSP Based Fast Distance Protection. In: International Conference on Power Systems (ICPS-2007), CPRI, Bangalore, 12-14 December 2007, Bangalore.

Sheeba, PS and Ghose, D (2007) A resource based game theoretical model for military conflicts. In: Proceedings of the International Conference on Advances in Control and Optimization, Bangalore, India, February 2007, Bangalore.

Shenoy, UJ and Satheesh, KR and Kavyashree, BS and Shastry, Bharghava S (2007) Advanced Educational Tools for Modeling, Simulation, Impleme-ntation and Real-Time Testing of Modern Power System Protection Schemes - Particular Reference to Distance Protection. In: National Systems Conference (NSC-2007), MIT, Manipal, 14-15, December 2007, Manipal.

Shenoy, UJ and Shastry Bhargava, S and Satheesh, KR and Kavyashree, BS (2007) Adaptive Filtering Technique and DSP Based Implementation for High-Speed Distance Protection. In: 4th International Conference on Power System Protection and Automation, 21–22 November 2007, New Delhi, India.

Shindhe, V and Sandhya Shree, * and Rosa, JS and Shivakumar, KR and Ramachandra, SG (2007) Evaluation of rodents for viral infections in conventional and barrier type of housing. In: Current Perspectives and Future Challenges in Laboratory Animal Management, 2007 (Dec 29-30).

Shivaleela, ES and Srinivas, T (2007) Simulation of wavelength/time multiple-pulses-per-row fiber-optic CDMA network. In: International Conference on Advanced Computing and Communications., DEC 20-23, 2006, Mangalore, INDIA.

Shivaleela, ES and Srinivas, T (2007) A comparison of 2-D optical orthogonal codes for cardinality and spectral efficiency. In: Proceedings of 23rd OSI symposium on Optics and Optoelectronics, Tezpur, Dec.2007 , Tezpur.

Shubhakar, * and Mahapatra, Santanu (2007) Effects of material properties and device parameters on the performance of Silicon nanowire FET. In: Advanced Nano Materials, 2007.

Shubhakar, * and Ray, Biswajit and Mahapatra, Santanu (2007) Challenges Posed to the State of the Art Device Simulators in Nanoscale Regime. In: VLSI Design And Test Symposium.

Shubhendu, SR and Seshagiri, PB (2007) Embryonic expression of cdx2 during peri-hatching hamster blastocysts. In: Conference on Recent Advances and Cha-llenges in Reproduc-tive Health Research & 17th Annual Meet-ing of the Indian Society for the Study of Reproduction and Fertility, 19-21 February 2007, New Delhi.

Shukla, S and Govardhan, RN and Arakeri, JH (2007) Flow over a bluff body with a flexible splitter plate. In: Fifth Conf. on Bluff Body Wakes and Vortex-Induced Vibrations (BBVIV5) Costa do Sauipe, , 12–15 December 2007, Brazil.

Shyam, K and Govindarajan, R (2007) Compiler Directed Power Optimization for Partitioned Memory Architectures. In: Proc. of the Compiler Construction Conference (CC-07) Braga, Portugal, 2007., 2007, Portugal.

Shyam, K and Govindarajan, R (2007) Compiler-Directed Dynamic Voltage Scaling using Program Phases. In: HiPC'07 Proceedings of the 14th international conference on High performance computing, Heidelberg.

Singh, Charu and Venugopal, V and Sengupta, D and Goswami, BN (2007) On the changing nature of Indian monsoon rainfall annual cycle. In: Celebrating the Monsoon: International conference on Monsoons, 24-28 July 2007, IISc Bangalore.

Singh, RK and Basha, SM and Raghuprasad, BK (2007) Experimental and finite element simulation studies for the size effect influence on the fracture energy of p-lain concrete beam specimens. In: Structural Engineers World Congress 2007 (SEWC 2007), 2-7 November 2007.

Singh, Satwinder Jit and Chatterjee, Anindya (2007) Fractional damping: Stochastic origin and finite approximations. In: 2nd Symposium on Fractional Derivatives and Their Applications (FDTAs, SEP, 2005, Long Beach, CA.

Singh, V and Gupta, GS and Kitamura, S (2007) Modelling of raceway size and shape in mixed particle system. In: Procs. 6th IAS Ironmaking Conference, , Nov 2007, Rosario, Argentina.

Singhal, A and Shetty, DA and Ravikrishna, RV (2007) Unsteady simulations of single-cavity trapped vortex combustion. In: 6th Asia-Pacific Conference on Combustion, ASPACC 2007, 20-23 May 2007, Nagoya Congress Centre Nagoya, Japan.

Singhal, Atul and Shetty, Dinesh and Ravikrishna, RV (2007) Numerical Simulations of a Trapped Vortex Combustor. In: 6th Asia-Pacific Conference on Combustion, , 2007, Nagoya, Japan.

Sinha, A and Ghose, D (2007) Control of Agent Swarms using Generalized Centroidal Cyclic Pursuit Laws. In: Workshop on Analytics for Noisy Unstructured Text Data held in Conjunction with the 20th International Joint Conference on Artificial Intelligence, JAN 06-12, 2007 , Hyderabad, INDIA, pp. 1525-1530.

Sinha, A and Ghose, D (2007) Line formation of a swarm of autonomous agents with centroidal cyclic pursuit. In: Proceedings of International Conference on Advances in Control and Optimization of Dynamical Systems (ACODS2007), Bangalore, India, February 2007, Bangalore.

Sinha, N and Roy Mahapatra, D and Yeow, JTW and Melnik, R (2007) Modeling the Field Emission Current Fluctuation in Carbon Nanotube Thin Films. In: NSTI Nanotech 2007 Conf., May 20-24, 2007, Santa Clara, CA, USA, May 20-24, 2007, Santa Clara, CA.

Sireeesh, S and Dash, SK and Anand, JP and Sitharam, TG (2007) Geocell sand mattress spanning over an underground circular void in clay: model studies. In: 13th Asian Regional Conf. on Soil Mech. and Geotechnical Engg., Kolkata, 2007, Kolkata.

Sitharam, TG and Anbazhagan, P (2007) Seismic hazard analysis with site effects for Bangalore. In: 13th Asian Regional Conf. on Soil Mech. and Geotechnical Engg., 2007, Kolkata.

Sitharam, TG and Maji, VB (2007) An Equivalent Non-Linear Constitutive Model for Jointed Rockmass : Sensitivity Analysis. In: Int. Workshop on Constitutive Modelling - Development, Implementation, Evaluation and Application,, 2007, Hong Kong.

Sitharam, TG and Samui, P (2007) Artificial neural network and support vector machine models for evaluating spatial variability of SPT data. In: Proceedings of the Tenth International Symposium on Numerical Models in Geomechanics (NUMOG X), Rhodes, Greece, 25-27 April 2007, Rhodes, Greece.

Sitharam, TG and Samui, P (2007) Spatial variability of SPT data using ordinary and disjunctive kriging. In: Int. Society of Geotechnical Rigs, China, 2007, China.

Sitharam, TG and Samui, P (2007) Three-Dimensional Site Characterization Model Using Artificial Neural Networks. In: 13th Asian Regional Conf. on Soil Mech. and Geotechnical Engg., Kolkata, 2007, Kolkata.

Sitharam, TG and Vinod, JS and Ravishankar, BV (2007) Post liquefaction undrained monotonic strength of sands. In: 13th Asian Regional Conf. on Soil Mech. and Geotechnical Engg., Kolkata, 2007, Kolkata.

Sivakumar, K and Gopakumar, K and Somasekhar, VT (2007) DC link capacitor balancing of NPC inverter using switched voltage source for the full modulation range. In: National Power electronics Conference, 2007, INDIA, India.

Sivanagendra, P and Ananthasuresh, GK (2007) Size-Optimization of a Beam under Deformation Dependent Loads. In: 7th World Congress of Structural and Multidisciplinary Optimization, COEX Seoul,, 21-25, May, 2007, South Korea.

Sivaprasad, JS and Bhavsar, TV and Ghosh, R and Narayanan, G (2007) Vector control of three-phase ac-dc front-end converter. In: National Power Electronics Conference, NPEC-2007, Bangalore, Dec 17-19, 2007, Bangalore.

Sivapullaiah, PV (2007) Geotechnical Properties of Fly Ash - Role of Physical and Chemical Properties. In: National Seminar on Flyash utilization Avenues in Civil Engineering, Belgaum, Belgaum.

Sivapullaiah, PV and Katageri, Basavaraj and Herkal, RN (2007) Enhancement of Strength of Soft Soils with Fly ash and Lime. In: Sri Lankan Geotechnical Society's 1st Int. Conf. on Soil & Rock Engg., Colombo, Colombo.

Sivapullaiah, PV and Reddy, Hari Prasad P and Naik, SM (2007) Impact of Sulphate counter ion in the migration of sodium ion through soils. In: 13th Asian Regional Conf. on Soil Mech. and Geotechnical Engg., Kolkata, 2007, Kolkata.

Sivaramakrishnan, KR and Karthik, K and Bhattacharyya, Chiranjib (2007) Kernels for large margin time-series classification. In: International Joint Conference on Neural Networks, 12-17 Aug. 2007, Orlando, FL.

Solomon, Christopher S and Sinha, PP and Suresh, MR and Kailas, Satish V (2007) Friction in Bulk plastic deformation. In: National Aerospace Manufacturers Seminar, NAMS-2007, , Dec 6-7, 2007, Thiruvanathapuram.

Somasekhara Rao, T and Chakrabarti, Amaresh (2007) An Empirical Study of Synthesis of Multiple State Devices by Engineering Designers. In: 13th National Conference on Mechanisms and Machines (NaCoMM07),, December 12-13, 2007, IISc, Bangalore, India.

Sparrow, J and Sevdali, M and Nongthomba, U (2007) A human skeletal actin (ACTA1) myopathy mutation (R372H): Studied using Drosophila indirect flight muscles. In: 20th European Drosophila Research Conference , 12-14 Sep. 2007.

Sreedhar, D and Chockalingam, A (2007) Detection of SFBC-OFDM signals in frequency- and time-selective MIMO channels. In: IEEE Wireless Communications and Networking Conference, MAR 11-15, 2007, Hong Kong.

Sreedhar, D and Chockalingam, A (2007) ICI-ISI mitigation in cooperative SFBC-OFDM with carrier frequency offset. In: 18th IEEE International Symposium on Personal, Indoor and Mobile Radio Communication, SEP 03-07, 2007, Athens.

Sreenivasan, S and Goel, Piyush and Ghosal, Ashitava (2007) Redundancy resolution using a tractrix and its application to real-time simulations of hyper-redundant manipulators, snakes and tying of knots. In: 12th IFToMM World Congress, June 18-21, 2007, Besancon.

Sreeram, K and Birenjith, S and Vinodh, K and Anand, M and Vijay Kumar, P (2007) On the throughput, DMT and optimal code construction of the K-parallel-path cooperative wireless fading network. In: Proceedings 10th International Symposium on Wireless Personal Multimedia Communications (WPMC' 07), Jaipur, Dec.2007 , Jaipur.

Sridhar, G and Paul, PJ and Mukunda, HS and Dasappa, S and Subbu krishna, DN and Sridhar, HV (2007) Torrefaction of bamboo. In: Fifteenth European Biomass Conference and Exhibition, 7-11 May 2007, Berlin, Germany.

Sridhar, G and Sridhar, HV and Paul, PJ and Rajan, NKS and Dasappa, S (2007) Green Electricity - A Case Study of Grid Linked Independent Power Producer. In: Fifteenth European Biomass Conference and Exhibition, 7-11 May 2007, Berlin, Germany.

Sridhar, HV and Dasappa, S and Paul, PJ and Mukunda, HS (2007) On the Operation of High Pressure Biomass Gasifier with Gas Turbine. In: Fifteenth European Biomass Conference and Exhibition, 7-11 May 2007, Berlin, Germany.

Srinidhi, S and Raghavan, Srinivasa NR and Srinivasan, R (2007) Exact and Near Optimal Heuristics for the Parallel Batch Problem to Maximize Capacity Utilization. In: Annual Conference of International Academy of Business and Economics (IABE), Oct.14-17, 2007.

Srinivas, K and Chakrabarti, A (2007) Understanding the Evolution of uncertainty of Information about Product Life Cycle with respect to Life Cycle Assessment in Design. In: A Product Lifecycle Approach, Regalla Srinivasa Prakash and Kuldip Sangwan (Editors), , 2007, New Age International, India.

Srinivas, T (2007) Guided wave moem sensor. In: Sensors 2007, RCI, Hyderabad, Dec.2007, Hyderabad.

Srinivas, VV and Tripathi, TS and Govindaraju, RS and Rao, AR (2007) Regional Flood Frequency Analysis using Two-level Clustering Approach. In: World Environmental and Water Resources Congress 2007, May 15-19, 2007, Florida.

Srinivasa, G and Karthik, GS and Ramesh, KP and Shafi, KA and Kasthurirengan, S (2007) Experimental studies on two stage pulse tube cryocooler reaching 3.3K. In: Cryogenic Engineering Conference and International Cryogenic Materials Conference Chattanooga U.S.A, July 2007.

Srinivasa, KG and Srichand, P and Bhat, Anuj and Venugopal, KR and Patnaik, LM (2007) A genetic algorithm with characteristic amplification through multiple geographically isolated populations and varied fitness landscapes. In: 15th International Conference on Advanced Computing and Communications, DEC 18-21, 2007, Guwahati, Assam, India.

Srinivasan, AD and Rajanikanth, BS (2007) Pulsed plasma treatment for NOx reduction from filtered/unfiltered stationary diesel engine exhaust. In: Industry Applications Conference, 2007. 42nd IAS Annual Meeting, 2007.

Srinivasan, V and Chakrabarti, Amaresh (2007) GEMS of SAPPhIRE: A Framework for Designing? In: 13th National Conference on Mechanisms and Machines (NaCoMM07),, December 12-13, 2007, IISc, Bangalore, India.

Sriraghavendra, R and Karthik, K and Bhattacharyya, Chiranjib (2007) Frechet distance based approach for searching online handwritten documents. In: 9th International Conference on Document Analysis and Recognition, SEP 23, 2007-SEP 26, 2009, Curitiba.

Sriram, NMA and Rajan, KS and Kulkarni, PS (2007) Complex Flow Analysis through a Multiple le Driven laser Cavity. In: Symposium on Aerodynamic and Design of aerospace Vehicle (SAROD-2007), November 22-23, 2007, Thivandrum, India.

Sriram, V and Narayan, Ganesh and Gopinath, K (2007) SAFIUS - A secure and accountable filesystem over untrusted storage. In: Fourth International IEEE Security in Storage Workshop, 2007 - SISW '07. Publication Date: 27-27 Sept. 2007, September 2007, San Diego, California, USA, pp. 34-45.

Srivatsa, HS and Srinivasan, R (2007) Banking psychographics: an Indian empirical study. In: Annual Conference of International Academy of Business and Economics (IABE), Oct.14-17, 2007.

Subba Rao, P and Kishen, Chandra JM (2007) Bimaterial Fracture Properties of Concrete-Concrete Cold Joints. In: Sixth International Conference on Fracture Mechanics of Concrete and Concrete Structures (Framcos6), (Editors: Carpinteri et al.), June 17-22, 2007, Catania, Italy.

Subbian, Karthik and Kannan, Ramakrishnan and Gautam, Raghav K and Narahari, Y (2007) Incentive compatible mechanisms for group ticket allocation in software maintenance services. In: APSEC '07 Proceedings of the 14th Asia-Pacific Software Engineering Conference, 4-7 Dec. 2007 , Aichi .

Subbian, Karthik and Narahari, Y (2007) Truth Eliciting Mechanisms for Trouble Ticket Allocation in Software Maintenance Services. In: Proceedings of the Nineteenth International Conference on Software Engineering and Knowledge Engineering, SEKE'2007 Boston, USA, July 2007, Boston.

Subramanian, S and Sharma, AK and Rajendra, A and Gowda, Amitha S and Saravanan, L (2007) Studies on dispersion stabilization of hard and soft coating materials for space applications. In: Procs. Conference On Advances In Space Science And Technology (CASST'2007), , Jan 2007, Bangalore.

Sudalaimuthu, S and Thomas, Joy M (2007) Effect of X-ray Irradiation on Fibre Reinforced Polymer Composites used as HV Insulation in X-ray Generators. In: International conference on Frontiers of Radiation and Photo chemistry (Photoradchem- 2007), M.G. University, Kottayam, Kerala, 8-11 February 2007, Kerala.

Sudalaimuthu, S and Thomas, MJ and Senthil Kumar, S and Vinod Kumar, V (2007) Effects of X-ray radiation on solid insulating materials. In: 2006 IEEE Conference on Electrical Insulation and Dielectric Phenomena, 15-18 Oct. 2006, Kansas City, MO, pp. 1-4.

Sudhir Kumar, R and Bala Subrahmanya, MH (2007) Subcontracting Relationship of Indian SMEs with a Global TNC: Do SMEs Gain and How? In: Presented at Singapore Economic Review Conference 2007 held at Singapore, 2-4 August 2007, Singapore.

Sudhira, HS and Ramachandra, TV (2007) Characterising Urban Sprawl from Remote Sensing Data and Using Landscape Metrics. In: of 10th International Conference on Computers in Urban Planning and Urban Management, 11-13 July, 2007, Iguassu Falls, PR Brazil.

Sudhira, HS and Ramachandra, TV and Subrahmanya, Bala MH (2007) Integrated Spatial Planning Support Systems for Managing Urban Sprawl. In: 10th International Conference on Computers in Urban Planning and Urban Management, 11-13 July, 2007, Iguassu Falls, PR Brazil.

Sundaram, S (2007) Distributed digital logic simulation on a network of workstations. In: 1994. ICPP 1994. International Conference on Parallel Processing, 15-19 Aug. 1994, North Carolina State University, NC, USA, pp. 102-105.

Sundaresan, Rajesh (2007) Guessing based on length functions. In: IEEE International Symposium on Information Theory, JUN 24-29, 2007, Nice.

Sundareshan, MK and Thathachar, MAL (2007) Average variation L2-stability criteria for time-varying feedback systems-A unified approach. In: 1973 IEEE Conference on Decision and Control including the 12th Symposium on Adaptive Processes, 5-7 Dec. 1973 .

Sundari, Sivagama and Vadhiyar, Sathish and Nanjundiah, Ravi (2007) Coupled Climate Model on Grids. In: HiPC 2007, 18-21 Dec 2007, Goa, India.

Suresh, K and Sreenivas, TV (2007) Analysis and Synthesis of Reverberation for Parametric Stereo Audio. In: Proceedings 123rd Audio engineering Convention, New York, USA, Oct.2007, New York.

Suresh, K and Suresh, K (2007) Direct mdct domain psychoacoustic modeling. In: International Symposium on Signal Processing and Information Technology, 2007 IEEE, 15-18 Dec. 2007 , Giza.

Suresh, KC and Dasappa, S and Paul, PJ and Rajan, NKS and Subbukrishna, DN (2007) Precipitated Silica from Ricehusk Ash by IPSIT Process. In: Fifteenth European Biomass Conference and Exhibition, 7-11 May 2007, Berlin, Germany.

Suresh, P and Sridaran, R and Medhamurthy, R (2007) Dynamics of FSH and Inhibin A Secretion during Menstrual Cycle in the Bonnet Monkey: Expressions of Inhibin-a and ICER in the Corpus Luteum. In: Annual Endocrine Society meeting, USA, 2007.

Suresh, V and Raghupathy, Narayanan and Shekar, B and Madhavan, CE Veni (2007) Discovering mentorship information from author collaboration networks. In: 10th International Conference on Discovery Science, OCT 01-04, 2007, Sendai,.

Suresha, K and Guru Prasad, AS and Chandra Mohan, Suresh and Asokan, S (2007) A New High Efficiency Fiber Bragg Grating (FBG) Interrogation System Based on Wavelength dropping Technique (WDT). In: National Symposium on Instrumentation (NSI-32) K.S. Rengasamy College of Technology, Tiruchengode, 24 – 26th Oct. 2007, Tiruchengode.

Suri, N Rama and Narahari, Y (2007) Broadcast in Ad hoc Wireless Networks with Selfish Nodes: A Bayesian Incentive Compatibility Approach. In: 2nd International Conference on Communication Systems Software and Middleware, JAN 07-12, 2007, Bangalore.

Tekwani, PN and Kanchan, RS and Gopakumar, K (2007) An improved sapce phasor based current hysteresis controller with reduced switching frequency variations using variable parabolic bands. In: IEEE Transactions on Industrial Electronics, Oct. 2007, Ahmedabad.

Thathachar, MAL and Gajendran, F (2007) Convergence problems in a class of model reference adaptive control systems. In: 1977 IEEE Conference on Decision and Control including the 16th Symposium on Adaptive Processes and A Special Symposium on Fuzzy Set Theory and Applications, Dec. 1977.

Thimmanna, Veerendra and Jain, Mridula and Gopinath, Dinesh and Ramanarayanan, V (2007) FPGA Based Digital Platform for Real Time Simulation of Power Electronics Systems. In: Proc. of National Power Electronics Conference NPEC'2007 Bangalore, Dec. 2007, Bangalore.

Thomas, Ciza and Balakrishnan, Narayanaswamy (2007) Selection of intrusion detection system threshold bounds for effective sensor fusion. In: Conference on Data Mining, Intrusion Detection, Information Assurance and Data Networks Security 2007, APR 10, 2007, Orlando, FL.

Thomas, Bejoy and Das, Bibhuti B and Suryaprakash, N (2007) Solid-State NMR Studies of Organically Modified Y-Zeolites: Identification of Individual Q-Units by HETCOR and Proton Correlations Methods. In: 13th National Magnetic Resonance Society Meeting, NCL Pune , Feb 5-8, 2007, Pune.

Thomas, Joy M and Rajkoti, D (2007) Computation of the switching overvoltage in ITER Toroidal Field coil power supply. In: 22nd National Symposium on Plasma Science and Technology( Plasma 2007),IPR, Gandhinagar, Gujarat, 6-10 Dec. 2007, Gandhinagar.

Thomas, P and Sathapathy, LN and Dwarakanath, K and Varma, KBR (2007) Miorwave processing of giant dielectric CaCu3Ti4O12 Ceramics. In: IUMRS-ICAM 2007, 8-13, October 2007 .

Thomas, P and Varughese, KT and Dwarakanath, K and Varma, KBR (2007) Dielectric behaviour of CCTO/PVDF composite. In: International Conference on Polymeric Materials in Power Engineering (ICPMPE-2007), 2007.

Thukaram, D and Vyjayanthi, CV (2007) Evaluation and Improvement of Reactive Power Dispatch in Deregulated Transmission Networks. In: International Conference on Power Systems Central Power Research Institute, Bangalore, INDIA, 12-14 Dec. 2007, Bangalore.

Tulabandu, Ranjit Kumar and Ashwin, AC and Ramakrishnan, KR (2007) An adaptive decomposition method for video. In: 6th International Conference on Information, Communications and Signal Processing, 10-13 Dec. 2007, Singapore.

Ubaidulla, P and Chockalingam, A (2007) Robust MMSE Tomlinson-Harashima Precoder for Multiuser MISO Downlink with Imperfect CSI. In: Proceedings 10th International Symposium on Wireless Personal Multimedia Communications (WPMC'2007), Jaipur, India, Dec.2007 , Jaipur.

Ullas, SN and Reddy, Venkatarama BV (2007) Characteristics of soil-cement blocks from different construction sites. In: Proceedings of International Symposium on Earthen Structures, Interline Publishers,, 22-24 Aug. 2007, Bangalore, India.

Umarji, AM (2007) Materials Discussion. In: IISc Global Conference , 22-24 June, 2007.

Vaiapury, Karthikeyan and Atrey, Pradeep K and Kankanhalii, Mohan S and Ranxakrishnan, Kalpathi (2007) Non Identical Duplicate Video Detection using SIFT Method. In: IET International Conference on Visual Information Engineering 2006 VIE 2006, 26-28 Sept. 2006, Bangalore, India.

Vanishri, S and Elizabeth, S and Reddy, JNB and Bhat, HL and Naik, BV (2007) A comparative study of congruent and near stoichiometric lithium niobate crystals. In: Proceeding of the Conference on Advances in Space Science and Technology (CASST 2007),, January 2007, Bangalore.

Vashistha, S and Azad, AP and Chockalingam, A (2007) Efficient scheduling of sensor activity for information coverage in wireless sensor networks. In: 2nd International Conference on Communication Systems Software and Middleware, JAN 07-12, 2007, Bangalore.

Vashistha, S and Azad, AP and Chockalingam, A (2007) Energy efficient area monitoring using information coverage in wireless sensor networks. In: EEE International Symposium on A World of Wireless, Mobile and Multimedia Networks, JUN 18-21, 2007, Espoo, Finland.

Vaswani, Kapil and Nori, Aditya V and Chilimbi, Trishul M (2007) Preferential Path Profiling: Compactly Numbering Interesting Paths. In: The 34th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages, January 17–19, 2007, Nice, France, pp. 351-362.

Vaswani, Kapil and Thazhuthaveetil, Matthew J and Srikant, YN and Joseph, PJ (2007) Microarchitecture sensitive empirical models for compiler optimizations. In: 5th International Symposium on Code Generation and Optimization, MAR 11-14, 2007, San Jose, CA.

Velusamy, Sudha and Gopal, Lakshmi and Sridhar, V and Bhatnagar, Shalabh (2007) Fuzzy Clustering Based Ad Recommendation for TV Programs. In: Proceedings of the Fifth European Conference, EuroITV (Published in Interactive TV: A Shared Experience, Eds. P.Cesar, K.Chorianopoulos and J.F.Jensen, LNCS 4471, Springer, 2007), Amsterdam, Netherlands, Amsterdam.

Velusamy, Sudha and Thoshkahna, Balaji and Ramakrishnan, KR (2007) Novel melody line identification algorithm for polyphonic MIDI music. In: 13th International Multimedia Modeling Conference (MMM 2007), JAN 09-12, 2007, Singapore.

Vempati, Sudhir (2007) Running neutrino mass from six dimensions. In: In:From Strings to LHC Workshop,, 2 - 10 Jan 2007, Goa, India.

Vemu, Koteswara Rao and Bhatnagar, Shalabh and Hemachandra, N (2007) An optimal weighted-average congestion based pricing scheme for enhanced QoS, , LNCS 4882, 2007. In: ICDCIT'07 Proceedings of the 4th international conference on Distributed computing and internet technology , Dec. 17-20, 2007, Heidelberg.

Vemu, Koteswara Rao and Bhatnagar, Shalabh and Hemachandra, N (2007) An optimal weighted-average congestion based pricing scheme for enhanced QoS. In: 4th International Conference on Distributed Computing and Internet Technology, DEC 17-20, 2007, Bangalore, I.

Venkata, K and Prasanthi, M and Kumar, Anurag (2007) Optimizing Delay in Sequential Change Detection on Ad Hoc Wireless Sensor Networks. In: SECON '06. 2006 3rd Annual IEEE Communications Society on Sensor and Ad Hoc Communications and Networks, 2006. , 28-28 Sept. 2006, Reston, VA .

Venkata Reddy, P and Nayak, MM and Rajanna, K (2007) MEMS based pressure sensor with triple modular redundancy. In: Sensors, 2007 IEEE, 28-31 Oct. 2007 , Atlanta, GA.

Venkataram, P and Giridharan, Anandi (2007) A Quality Assurance Model for Continuing Technical Education. In: International Conference on Higher Education (ICHE-07), Bangalore, July 2007, Bangalore.

Venkataram, P and Giridharan, Anandi (2007) Quality Assurance and Assessment in Technical Education System: A Web Based Approach. In: ICEE 2007, Coimbra, Portugal, Sept.2007, Portugal.

Venkataram, Pallapa and Babu, B Sathish and Naveen, MK and Samyama, Gungal GH (2007) A method of fraud & intrusion detection for e-payment systems in mobile. In: IEEE International Performance Computing and Communications Conference, APR 11-13, 2007, New Orleans, LA.

Venkatesh, C and Bhat, Navakanta (2007) Reliability analysis of torsional varactor. In: 14th International Symposium on the Physical and Failure Analysis of Integrated Circuits, JUL 11-13, 2007, Bangalore.

Venkatesulu, B and Thomas, M Joy (2007) Long-term Accelerated Multistress Aging of Composite Outdoor Polymeric Insulators. In: IEEE International Conference on Solid Dielectrics, JUL 08-13, 2007, Winchester, UK.

Venugopal, G and Parmar, Biren J and Rajanna, K and Nayak, MM (2007) Multi-point sensing system for plantar pressure measurement. In: Sensors, 2007 IEEE, 28-31 Oct. 2007 , Atlanta, GA.

Venugopal, S and Narayanan, G (2007) An Overmodulation Scheme for Vector Controlled Induction Motor Drives. In: PEDES '06. International Conference on Power Electronics, Drives and Energy Systems, 2006., 12-15 Dec. 2006, New Delhi .

Venugopal, V and Heuer, W and Marusic, I and Porte-Agel, F (2007) Universality of scaling laws in correlation between velocity and shear stress in turbulent boundary layers. In: American Geophysical Union, Spring Meeting Acapulco, May 2007, Mexico.

Venugopal, V and Viswanathan, G (2007) A Spatial Downscaling Model for Monsoon Rainfall over India. In: International Union for Geodesy and Geophysics Annual Meeting, July 2007, Perugia, Italy.

Venugopal, V and Viswanathan, G (2007) A spatial downscaling model for monsoon rainfall over India, International Union for Geodesy and Geophysics. In: XXIV General Assembly,, July 3-13, 2007, Perugia, Italy.

Vidyunmala, V and Nanjundiah, RS and Srinivasan, J (2007) Errors in Simulations of Oceanic ITCZ and ISMR. In: Celebrating the Monsoon: International Conference on Monsoons, 24-28 July 2007, IISc Bangalore.

Vijay, UK and Bharadwaj, Amrutur (2007) Continous Time Sigma-Delta Modulator Employing a Novel Comparator Architecture. In: 20th International Conference on VLSI Design, 2007. Held jointly with 6th International Conference on Embedded Systems., 6-10 Jan. 2007 , Bangalore.

Vijay Kumar, P (2007) Meeting Welch Outside the Circle. In: Helleseth Symposium, Bergen, Norway, Nov.2007, Bergen, Norway.

Vijaya Kumar, Gokula AV and Chakrabarti, A (2007) A KRIT Model for Understanding Knowledge Generation during Design Process in Industry. In: A Product Lifecycle Approach, Regalla Srinivasa Prakash and Kuldip Sangwan (Editors),, 2007, New Age International, India.

Vijaykumar, AVG and Chakrabarti, A (2007) Taxonomy for Understanding Knowledge Captured in Documents by Designers. In: Proceedings of the 16th International Conference on Engineering Design (ICED07), August 2007, Paris, France.

Vijaykumar, Gokula AV and Chakrabarti, Amaresh (2007) Understanding Patterns of Interaction Between Designers during Design Process. In: Proceedings of the 16th International Conference on Engineering Design (ICED07), August 2007, Paris, France.

Vijaykumar, RL and Bhat, MR and Murthy, CRL (2007) Non Destructive Evaluation Of Adhesively Bonded Joints. In: National seminar on Non-Destructive Evaluation NDE-2007, Nov 28-30 2007, Vadodara.

Vinayachandran, PN and Aneesh, CS (2007) Improving Indian Ocean SST simulation by assimilating Argo profiles. In: IUGG XXIV General Assembly Perugia, 2-13 July 2007, Italy.

Vinod, JS and Nagaraja, Sharat and Sitharam, TG (2007) Numerical simulation of reinforced granular beds using DEM. In: 1st Indian Young Geotechnical Engineers Conf., Hyderabad, 2007, Hyderabad.

Visbal, MR and Rizzetta, DP and Mathew, J (2007) Large eddy simulation of flow past a 3-d bump. In: 45th AIAA Aerospace Sciences, Reno, USA, 8-11 Jan 2007, Reno.

Viveka, KR and Kawle, Abhilasha and Amrutur, Bharadwa (2007) Low power pipelined TCAM employing mismatch dependent power allocation technique. In: 20th International Conference on VLSI Design held jointly with the 6th International Conference on Embedded Systems, JAN 06-10, 2007, Bangalore.

Vivekanandham, Rajesh and Govindarajan, R (2007) A Scalable Low Power Store Queue For Large Instruction Window Superscalar processors. In: Poster session at the Sixteenth International Conference on Parallel Architectures and Compilation Techniques (PACT-2007), September 15--19, 2007, Brasov, Romania.

Wahi, P and Stepan, G and Chatterjee, Anindya (2007) Self-interrupted regenerative turning. In: IUTAM Symposium on Dynamics and Control of Nonlinear Systems with Uncertainty, SEP 18-22, 2006, NETHERLANDS.

Warrior, Jogesh and Viswanadham, N (2007) Scattering theory and linear optimal control: Regulator and servo problems. In: 1978 IEEE Conference on Decision and Control including the 17th Symposium on Adaptive Processes, Jan. 1978.

Wu, Jingxian and Mehta, Neelesh B and Molisch, Andreas F and Zhang, Jin (2007) Spectral Efficiency of Channel-Aware Schedulers in Non-identical Composite Links with Interference. In: IEEE International Conference on Communications, 2007. ICC '07., 24-28 June 2007, Glasgow .

Yada, Satish and Amrutur, Bharadwaj and Parekhji, Rubin A (2007) Modified Stability Checking for On-Line Error Detection. In: 20th International Conference on VLSI Design, 2007. Held jointly with 6th International Conference on Embedded Systems., Jan. 2007, Bangalore.

Yang, Xiao-Dong and Roy Mahapatra, Debiprosad and Melnik, Roderick VN (2007) Simulation of RNA silencing pathway for time-dependent transgene transcription rate. In: AIP Conf. Proc., 952.

Yogananda, AP and Narasimha Murthy, M and Gopal, Lakshmi (2007) A Fast Linear Separability Test by Projection of Positive Points on Subspaces. In: ICML '07 Proceedings of the 24th international conference on Machine learning, June 20-24, 2007, New York, NY.

Zacharias, Leena and Sundaresan, Rajesh (2007) Decentralized sequential change detection using physical layer fusion. In: IEEE International Symposium on Information Theory, JUN 24-29, 2007, Nice.

Zhang, Hongyuan and Mehta, Neelesh B and Molisch, Andreas F and Zhang, Jin and Dai, Huaiyu (2007) On the fundamentally asynchronous nature of interference in cooperative base station systems. In: IEEE ICC 2007, Glasgow, Scotland, 24-28 June 2007, Glasgow.

Conference Poster

Harish, BR and Bhat, Navakanta and Patil, Mahesh B (2007) Process Variation Aware Estimation of Static Leakage Power in Nano-CMOS. In: SISPAD, Vienna, Austria, Vienna, Austria.

Vemu, Koteswara Rao and Bhatnagar, Shalabh and Hemachandra, N (2007) Link route pricing for enhanced QoS. In: 46th IEEE Conference on Decision and Control, DEC 12-14, 2007, New Orleans, LA.

Departmental Technical Report

Narayan, Ganesh and Gopinath, K (2007) On the Structural Evolution of Linux Kernel. TR-2007.

Sudhira, HS (2007) Enhancing 'Mobility' through Integrated Transportation and Traffic Management. UNSPECIFIED.

Thangavelu, S (2007) Holomorphic Sobolev Spaces Associated to Compact Symmetric Spaces. 2007/ 31.

Journal Article

Barnwal, Ravi Pratap and Rout, Ashok K and Chary, KVR and Atreya, Hanudatta S (2007) Rapid measurement of $^3J(H^N-H^\alpha)$ and $^3J(N-H^\beta)$ coupling constants in polypeptides. In: Journal of Biomolecular NMR, 39 (4). pp. 259-263.

Prakash, Syam SR and Jayabaskaran, Chelliah (2007) Cloning of two chickpea cDNAs encoding calcium-dependent protein kinase isoforms. In: Current Science, 93 (1). pp. 72-77.

Varma, Siva Prasad N and Narasimhan, R and Luo, Alan A and Sachdev, AK (2007) An analysis of localized necking in aluminium alloy tubes during hydroforming using a continuum damage model. In: International Journal of Mechanical Sciences, 49 (2). pp. 200-209.

Aarthi, T and Madras, Giridhar (2007) Photocatalytic Degradation of Rhodamine Dyes with Nano-$TiO_2$. In: Industrial and Engineering Chemistry Research, 46 (1). pp. 7-14.

Aarthi, T and Narahari, Prashanthi and Madras, Giridhar (2007) Photocatalytic degradation of Azure and Sudan dyes using nano $TiO_2$. In: Journal of Hazardous Materials, 149 (3). pp. 725-734.

Aarthi, T and Shaama, MS and Madras, Giridhar (2007) Degradation of Water Soluble Polymers under Combined Ultrasonic and Ultraviolet Radiation. In: Industrial & Engineering Chemistry Research, 46 (19). pp. 6204-6210.

Abani, N and Bakshi, S and Ravikrishna, RV (2007) Multi-dimensional modelling of spray, in-cylinder air motion and fuel–air mixing in a direct-injection engine. In: Sadhana, 32 (5). pp. 597-617.

Abbas, AM and Manohar, CS (2007) Reliability-based vector nonstationary random critical earthquake excitations for parametrically excited systems. In: Structural Safety, 29 (1). pp. 32-48.

Abdulla, Mohammed Shahid and Bhatnagar, Shalabh (2007) Reinforcement Learning Based Algorithms for Average Cost Markov Decision Processes. In: Discrete Event Dynamic Systems - Theory and Applications, 17 (1). pp. 23-52.

Abraham, David J and Irving, Robert W and Kavitha, Telikepalli and Mehlhorn, Kurt (2007) Popular Matchings. In: SIAM Journal on Computing, 37 (4). pp. 1030-1045.

Abraham, Sneha Elizabeth and Chakrabarti, Dwaipayan and Bagchi, Biman (2007) Energy landscape view of nonideality in binary mixtures. In: The Journal of Chemical Physics, 126 (7). 074501:1 -8.

Abraham, Thomas and Minj, Suvarsha (2007) Scientific Journal Publishing in India: Promoting electronic publishing of scholarly journals in India. In: First Monday, 12 (10). pp. 1-7.

Achamma, G and Sushama, D and Asokan, S and Awasthi, AM and Predeep, P (2007) Glass transformation studies in Ge-Se-Bi system. In: Glass Physics and Chemistry, 33 (6). pp. 562-568.

Acharya, Pragyan and Kumar, Ranjit and Tatu, Utpal (2007) Chaperoning a cellular upheaval in malaria: Heat shock proteins in Plasmodium falciparum. In: Molecular and Biochemical Parasitology, 153 (2). pp. 85-94.

Acharya, Rudresh and Gupta, Madhvi and Ramakumar, Suryanarayanarao and Ramagopal, Udupi A and Chauhan, VS (2007) Observation of glycine zipper and unanticipated occurrence of ambidextrous helices in the crystal structure of a chiral undecapeptide. In: BMC Structural Biology, 7 (51). pp. 1-9.

Adarsh, KV and Sangunni, KS and Sandeep, Suchand CS and Philip, Reji and Kokenyesi, S and Takats, V (2007) Observation of three-photon absorption and saturation of two-photon absorption in amorphous nanolayered Se/As2S3 thin film structures. In: Journal of Applied Physics, 102 (2). 026102-1-026102-3.

Adimurthi, * and Mishra, Siddhartha and Gowda, Veerappa GD (2007) Explicit Hopf–Lax type formulas for Hamilton–Jacobi equations and conservation laws with discontinuous coefficients. In: Journal of Differential Equations, 241 (1). pp. 1-31.

Adoni, Abhijit A and Ambirajan, Amrit and Jasvanth, VS and Kumar, D and Dutta, Pradip and Srinivasan, K (2007) Thermohydraulic Modeling of Capillary Pumped Loop and Loop Heat Pipe. In: Journal of Thermophysics and Heat Transfer, 21 (2). pp. 410-421.

Agarwal, Amit and Sen, Diptiman (2007) Charge transport in a Tomonaga-Luttinger liquid: Effects of pumping and bias. In: Physical Review B: Condensed Matter and Materials Physics, 76 (3).

Agarwal, Amit and Sen, Diptiman (2007) Equation of motion approach to non-adiabatic quantum charge pumping. In: Journal of Physics:Condensed Matter, 19 (4). 046205-13.

Agarwal, Amit and Sen, Diptiman (2007) Nonadiabatic charge pumping in a one-dimensional system of noninteracting electrons by an oscillating potential. In: Physical Review B, 76 (23). 235316-1-235316-7.

Ahamad, Niyaz M and Mizuno, Shintaro and Komatsu, Takayuki and Varma, KBR (2007) Nanocrystalline patterning of $K_3Li_2Nb_5O_{15}$ on $TeO_2$ glasses by an excimer laser. In: Journal of Crystal Growth, 304 (1). pp. 270-274.

Ahuja, Ritu and Nethaji, M and Samuelson, AG (2007) Mitigating repulsions in close quarters: Copper tells us how! In: Polyhedron, 26 (1). pp. 142-148.

Ajayamohan, RS and Goswami, BN (2007) Dependence of Simulation of Boreal Summer Tropical Intraseasonal Oscillations on the Simulation of Seasonal Mean. In: Journal of the Atmospheric Sciences, 64 (2). pp. 460-478.

Al Saafani, MA and Shenoi, SSC and Shankar, D and Aparna, M and Kurian, J and Durand, F and Vinayachandran, PN (2007) Westward movement of eddies into the Gulf of Aden from the Arabian Sea. In: Journal Of Geophysical Research-Oceans, 112 (C11004).

Alam, Akhtarul Md and Koner, RikRani and Das, Amrita and Nethaji, Munirathinum and Ray, Manabendra (2007) Rationalizing the effects of amino acid side chain, pyridine, and imidazole on the assembly and reversible disassembly of a octanuclear Cu(III) complex. In: Crystal Growth & Design, 7 (9). pp. 1818-1824.

Ali, Moazzam and Sarma, DD (2007) Synthesis of ZnSe quantum dots and ZnSe-ZnS core/shell nanostructures. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 1960-1964.

Ali, Moazzam and Chattopadhyay, Soma and Nag, Angshuman and Kumar, Akshay and Sapra, Sameer and Chakraborty, S and Sarma, DD (2007) White-light emission from a blend of CdSeS nanocrystals of different Se:S ratio. In: Nanotechnology, 18 (7). 075401:1-4.

Alok, Sharma and Chandran, Divya and Singh, Desh D and Vijayan, M (2007) Multiplicity of carbohydrate-binding sites in \beta-prism fold lectins: occurrence and possible evolutionary implications. In: Journal of Biosciences, 32 (6). pp. 1089-1110.

Anamika, K and Srinivasan, N (2007) Comparative Kinomics of Plasmodium Organisms: Unity in Diversity. In: Protein and Peptide Letters, 14 (6). pp. 509-517.

Anandhi, Aavudai and Srinivas, VV and Nanjundiah, Ravi S and Kumar, Nagesh (2007) Downscaling precipitation to river basin in India for IPCC SRES scenarios using support vector machine. In: International Journal of Climatology, 28 (3). pp. 401-420.

Ananthakrishna, G (2007) Negative strain rate sensitivity and the critical nature of type A bands in the Portevin-Le Chatelier effect. In: Journal of Computer-Aided Materials Design, 14 (1). pp. 5-14.

Ananthakrishna, G (2007) Current theoretical approaches to collective behavior of dislocations. In: Physics Reports, 440 (4-6). pp. 113-259.

Ananthanarayan, B (2007) Probing space-time structure of new physics with polarized beams at the international linear collider. In: Pramana-Journal of Physics, 69 (5). pp. 849-854.

Ananthanarayan, B and Pandita, PN (2007) Sparticle Mass Spectrum In Grand Unified Theories. In: International Journal of Modern Physics A, 22 (19). pp. 3229-3259.

Ananthanarayanan, B and Banerjee, Sunanda and Shivaraj, K and Upadhyay, A (2007) Puzzles of excited charm meson masses. In: Physics Letters B, 651 (2-3). pp. 124-128.

Anbarasu, M and Asokan, S (2007) The influence of network rigidity on the electrical switching behaviour of Ge–Te–Si glasses suitable for phase change memory applications. In: Journal of Physics D: Applied Physics, 40 (23). pp. 7515-7518.

Anbarasu, M and Asokan, S and Prusty, Sudakshina and Sood, AK (2007) Electrical switching and in situ Raman scattering studies on the set-reset processes in Ge-Te-Si glass. In: Applied Physics Letters, 91 (9).

Angiras, RA and Jog, CJ and Dwarakanath, KS and Verheijen, MAW (2007) Spatial and kinematical lopsidedness of atomic hydrogen in the Ursa Major group of galaxies. In: Monthly Notices of the Royal Astronomical Society, 378 (1). pp. 276-284.

Anilkumar, AK and Ananthasayanam, MR and Subba Rao, PV (2007) A constant gain Kalman filter approach for the prediction of re-entry of risk objects. In: Acta Astronautica, 61 (10). pp. 831-839.

Anuradha, KN and Rao, SS and Bhat, SV (2007) Complete 'melting' of charge order in hydrothermally grown $Pr_{0.57}Ca_{0.41}Ba_{0.02}MnO_3$ nanowires. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 1775-1778.

Anuradha, KT and Urs, Shalini R (2007) Bibliometric indicators of Indian research collaboration patterns: a correspondence analysis. In: Scientometrics, 71 (2). pp. 179-189.

Anuradha, TV and Ranganathan, S (2007) Nanocrystalline $TiO_2$ by three different synthetic approaches: A comparison. In: Bulletin of Materials Science, 30 (3). pp. 263-269.

Appajaiah, Anilkumar and Wachtendorf, Volker and Daum, Werner (2007) Climatic Exposure of Polymer Optical Fibers: Thermooxidative Stability Characterization by Chemiluminescence. In: Journal of Applied Polymer Science, 103 (3). pp. 1593-1601.

Arul, Vadivel and Kartha, Reena and Jayakumar, Rajadas (2007) A therapeutic approach for diabetic wound healing using biotinylated GHK incorporated collagen matrices. In: Life Sciences, 80 (4). pp. 275-284.

Arulraj, James and Rajamathi, Jacqueline T and Prabhu, Kandikere Ramaiah and Rajamathi, Michael (2007) Anionic clays as hosts for anchored synthesis: Interlayer bromination of maleate and fumarate ions in nickel-zinc layered hydroxy double salt. In: Solid State Sciences, 9 (9). pp. 812-816.

Arun, N and Sarkar, J and Sharma, Ashutosh and Shenoy, VB and Narayan, KS (2007) Electric-Field Induced Morphological Transitions in Elastic Contact Instability of Soft Solid Films. In: The Journal of Adhesion, 83 (6). pp. 513-534.

Arun, KG and Iyer, Bala R and Sathyaprakash, BS and Sinha, Siddhartha (2007) Higher harmonics increase LISA’s mass reach for supermassive black holes. In: Physical Review D, 75 (12). 124002-1-124002-11.

Arun, KG and Iyer, Bala R and Sathyaprakash, BS and Sinha, Siddhartha and Broeck, Chris Van Den (2007) Higher signal harmonics, LISA’s angular resolution, and dark energy. In: Physical Review D, 76 (10). 104016-1-104016-14.

Asis, Sarkar and Krupanidhi, SB (2007) Study of three-component ferroelectric perovskite superlattices. In: Solid State Communications, 143 (11-12). pp. 510-514.

Asokan, S and Manikandan, N (2007) Effect of indium doping on the electrical switching behaviour of Ge–Te glasses. In: Philosophical Magazine, 87 (32). pp. 5109-5116.

Ayappa, KG and Mishra, Ratan K (2007) Freezing of Fluids Confined between Mica Surfaces. In: Journal of Physical Chemistry B, 111 (51). pp. 14299-14310.

Azeem, MA and Shortall, C and Ramamurty, U (2007) Tensile properties of stainless steel sandwich sheets with fibrous cores. In: Scripta Materialia, 57 (3). pp. 221-224.

Azimonte, C and Cezar, JC and Granado, E and Huang, Q and Lynn, JW and Campoy, JCP and Gopalakrishnan, J and Ramesha, K (2007) Incipient Orbital Order in Half-Metallic $Ba_2FeReO_6$. In: Physical Review Letters, 98 (1). 017204-1-017204-4.

Babu, Naseer P and Govind, G and Prasad, SMS and Bhat, KN (2007) Electrical and Reliability Studies of "Wet $N_2O$" Tunnel Oxides Grown on Silicon for Flash Memory Applications. In: IEEE Transactions on Device and Materials Reliability, 7 (3). pp. 420-428.

Babu, Sivakumar GL and Srivastava, Amit (2007) Reliability analysis of allowable pressure on shallow foundation using response surface method. In: Computers and Geotechnics, 34 (3). pp. 187-194.

Babu, Sivakumar GL and Srivastava, Amit and Sahana, V (2007) Analysis of stability of earthen dams in kachchh region, Gujarat, India. In: Engineering Geology, 94 (3-4). pp. 123-136.

Babu, Suresh S and Moorthy, Krishna K and Satheesh, SK (2007) Temporal heterogeneity in aerosol characteristics and the resulting radiative impacts at a tropical coastal station – Part 2: Direct short wave radiative forcing. In: Annales Geophysicae, 25 (11). pp. 2309-2320.

Babu, Venkatesh R and Perez, Patrick and Bouthemy, Patrick (2007) Robust tracking with motion estimation and local Kernel-based color modeling. In: Image and Vision Computing, 25 (8). pp. 1205-1216.

Babu, Venkatesh R and Ramakrishnan, KR (2007) Compressed domain video retrieval using object and global motion descriptors. In: Multimed Tools and Applications, 32 (1). pp. 93-113.

Babu, Venkatesh R and Suresh, S and Perkis, Andrew (2007) No-reference JPEG-image quality assessment using GAP-RBF. In: Signal Processing, 87 (6). pp. 1493-1503.

Babua, Ravindra T and Murty, Narasimha M and Agrawal, VK (2007) Classification of run-length encoded binary data. In: Pattern Recognition, 40 (1). 321 -323.

Bach, Marc A and Parameswaran, Pattiyil and Jemmis, Eluvathingal D and Rosenthal, Uwe (2007) Bimetallic Complexes of Metallacyclopentynes: cis versus trans and Planarity versus Nonplanarity. In: Organometallics, 26 (9). pp. 2149-2156.

Bagchi, D and Kuma, A and Menon, R (2007) Tuning phase transitions and realization of special thermodynamic states in alcohol–water mixtures by the addition of ions. In: Physica A: Statistical Mechanics and its Applications, 384 (1). pp. 1-9.

Baidya, Tinku and Marimuthu, A and Hegde, MS and Ravishankar, N and Madras, Giridhar (2007) Higher Catalytic Activity of $Nano-Ce_{1-x-y}Ti_xPd_yO_{2-\delta}$ Compared to $Nano-Ce_{1-x}Pd_xO_{2-\delta}$ for CO Oxidation and $N_2O$ and NO Reduction by CO: Role of Oxide Ion Vacancy. In: Journal of Physical Chemistry C, 111 (2). pp. 830-839.

Baishya, Bikash and Mavinkurve, Raghav G and Suryaprakash, N (2007) $^{13}C-^{1}H$ HSQC experiment of probe molecules aligned in thermotropic liquid crystals: Sensitivity and resolution enhancement in the indirect dimension. In: Journal of Magnetic Resonance, 185 (2). pp. 221-229.

Baishya, Bikash and Prabhu, Uday Ramesh and Suryaprakash, N (2007) Enantiomeric Discrimination by Double Quantum Excited Selective Refocusing (DQ-SERF) Experiment. In: The Journal of Physical Chemistry B, 111 (43). pp. 12403-12410.

Baishya, Bikash and Suryaprakash, N (2007) Spin State Selective Detection of Single Quantum Transitions Using Multiple Quantum Coherence: Simplifying the Analyses of Complex NMR Spectra. In: Journal of Physical Chemistry, 111 (24). pp. 5211-5217.

Baishya, Bikash and Suryaprakasha, N (2007) Spin selective multiple quantum NMR for spectral simplification, determination of relative signs, and magnitudes of scalar couplings by spin state selection. In: Journal of Chemical Physics, 127 . 214510-1-214510-11.

Bajaj, Avinash and Kondiah, Paturu and Bhattacharya, Santanu (2007) Design, Synthesis, and in Vitro Gene Delivery Efficacies of Novel Cholesterol-Based Gemini Cationic Lipids and Their Serum Compatibility: A Structure-Activity Investigation. In: Journal of Medicinal Chemistry, 50 (10). pp. 2432-2442.

Bajaj, Avinash and Paul, Bishwajit and Indi, SS and Kondaiah, Paturu and Bhattacharya, Santanu (2007) Effect of the Hydrocarbon Chain and Polymethylene Spacer Lengths on Gene Transfection Efficacies of Gemini Lipids Based on Aromatic Backbone. In: Bioconjugate Chemistry, 18 (6). pp. 2144-2158.

Bajaj, Kanika and Madhusudhan, MS and Adkar, Bharat V and Chakrabarti, Purbani and Ramakrishnan, C and Sali, Andrej and Varadarajan, Raghavan (2007) Stereochemical Criteria for Prediction of the Effects of Proline Mutations on Protein Stability. In: PLoS Computational Biology, 3 (12). pp. 2465-2475.

Bakshi, S and Anand, TNC and Ravikrishna, RV (2007) In-cylinder charge stratification and fuel-air mixing in a new, low-emission two-stroke engine. In: International Journal of Engine Research, 8 (3). pp. 271-287.

Baksi, Suparna and Acharyya, Rama and Basuli, Falguni and Peng, Shie M and Lee, Gene H and Nethaji, Munirathinam and Bhattacharya, Samaresh (2007) Rhodium-Mediated C–C Bond Activation of 2-(2′,6′-Dialkylarylazo)-4-methylphenols. Elimination and Migration of Alkyl Groups. In: Organometallics, 26 (26). pp. 6596-6603.

Balachandran, AP and Govindarajan, TR and Mangano, G and Pinzul, A and Qureshi, BA and Vaidya, S (2007) Statistics and UV-IR mixing with twisted Poincare invariance. In: Physical Review D, 75 (4). 045009:1-7.

Balachandran, AP and Pinzul, A and Qureshi, BA and Vaidya, S (2007) Twisted gauge and gravity theories on the Groenewold-Moyal plane. In: Physical Review D, 76 (10). 105025-1-105025-10.

Balaji, S and Srinivasan, N (2007) Comparison of sequence-based and structure-based phylogenetic trees of homologous proteins: Inferences on protein evolution. In: Journal of Biosciences, 32 (1). pp. 83-96.

Balaji, Kithiganahalli N and Goyal, Girija and Narayana, Yeddula and Srinivas, Madduri and Chaturvedi, Rashmi and Mohammad, Saleemulla (2007) Apoptosis triggered by Rv1818c, a PE family gene from Mycobacterium tuberculosis is regulated by mitochondrial intermediates in T cells. In: Microbes and Infection, 9 (3). pp. 271-281.

Balamurugan, B and Roshan, Md MNA and Hameed, Shaahul B and Sumathi, K and Senthilkumar, R and Udayakumar, A and Babu, Venkatesh KH and Kalaivani, M and Sowmiya, G and Sivasankari, P and Saravanan, S and Ranjani, Vasuki C and Gopalakrishnan, K and Selvakumar, KN and Jaikumar, M and Brindha, T and Michael, Daliah and Sekar, K (2007) PSAP: protein structure analysis package. In: Journal of Applied Crystallography, 40 . pp. 773-777.

Banerjee, Arunima and Jog, Chanda J (2007) The Origin of Steep Vertical Stellar Distribution in the Galastic Disk. In: The Astrophysical Journal, 662 (1). pp. 335-340.

Banerjee, Suparna and Choudhury, Angshuman Roy and Row, Guru TN and Chaudhuri, Siddhartha and Ghosh, Ashutosh (2007) Three-dimensional supramolecular H-bonding network in the compounds containing hexamethylenetetramine and aquated Ni(II) or Cd(II) salts. In: Polyhedron, 26 (1). pp. 24-32.

Banerjee, Suparna and Devi, Parukuttyamma Sujatha and Topwal, Dinesh and Mandal, Suman and Menon, K (2007) Enhanced ionic conductivity in $Ce_{0.8}Sm_{0.2}O_{1.9}$: Unique effect of calcium co-doping. In: Advanced Functional Materials, 17 (15). pp. 2847-2854.

Bansal, Bhavtosh and Dixit, VK and Venkataraman, V and Bhat, HL (2007) Alloying induced degradation of the absorption edge of $InAs_xSb_{1-x}$. In: Applied Physics Letters, 90 (10). pp. 101905-3.

Bansal, Bhavtosh and Venkataraman, V and Dixit, VK and Bhat, HL (2007) Alloying induced degradation of the absorption edge of InAsxSb1-x. In: Applied Physics Letters, 90 (10). p. 101905.

Barbiero, Laurent and Parate, Harshad R and Descloitres, Marc and Bost, Adelphe and Furian, Sonia and Kumar, MS Mohan and Kumar, C and Braun, Jean-Jacques (2007) Using a structural approach to identify relationships between soil and erosion in a semi-humid forested area, South India. In: Catena, 70 (3). 313 -329.

Barman, S and Vasudevan, S (2007) Mixed saturated-unsaturated alkyl-chain assemblies: Solid solutions of zinc stearate and zinc oleate. In: Journal of Physical Chemistry B, 111 (19). 5212 -5217.

Basu, Arnab and Ghosh, Mrinal K (2007) Stochastic Differential Games with Multiple Modes and Applications to Portfolio Optimization. In: Stochastic Analysis and Applications, 25 (4). pp. 845-867.

Basu, Abhik and Ramaswamy, Sriram (2007) Perspectives on the mode-coupling approximation for the dynamics of interacting Brownian particles. In: Journal of Statistical Mechanics: Theory and Experiment, 11 . P11003-1-P11003-20.

Basu, Somnath and Lahiri, Ashok Kumar and Seetharaman, Seshadr (2007) Phosphorus Partition between Liquid Steel and CaO-SiO2-P2O5-MgO Slag Containing Low FeO. In: Metallurgical and Materials Transactions B, 38 (3). pp. 357-366.

Basu, Somnath and Lahiri, Ashok Kumar and Seetharaman, Seshadri (2007) A Model for Activity Coefficient of P2O5 in BOF Slag and Phosphorus Distribution between Liquid Steel and Slag. In: ISIJ International, 47 (8). pp. 1236-1238.

Basu, Somnath and Lahiri, Ashok Kumar and Seetharaman, Seshadri (2007) Phosphorus Partition between Liquid Steel and $CaO-SiO_2-FeO_x -P_2O_5-MgO$ Slag Containing 15 to 25 Pct FeO. In: Metallurgical and Materials Transactions B, 38 (4). pp. 623-630.

Basu, Somnath and Lahiri, Ashok Kumar and Seetharaman, Seshadri and Halder, Jayanta (2007) Change in Phosphorus Partition during Blowing in a Commercial BOF. In: ISIJ International, 47 (5). pp. 766-768.

Basu-Mallick, B and Bondyopadhaya, Nilanjan and Hikami, Kazuhiro and Sen, Diptiman (2007) Boson-fermion duality in SU(m vertical bar n) supersymmetric Haldane-Shastry spin chain. In: Nuclear Physics B, 783 (3). pp. 276-295.

Basu-Mallick, B and Bondyopadhaya, Nilanjan and Hikami, Kazuhiro and Sen, Diptiman (2007) Boson–fermion duality in SU(m|n) supersymmetric Haldane–Shastry spin chain. In: Nuclear Physics B, 782 (3). pp. 276-295.

Basu-Mandal, Pradipta and Chatterjee, Anindya and Papadopoulos, JM (2007) Hands-free circular motions of a benchmark bicycle. In: Proceedings of the Royal Society A- Mathematical Physical & Engineering Sciences, 463 (2084). pp. 1983-2003.

Bauri, Ranjit and Surappa, MK (2007) Processing and properties of $Al-Li-SiC_p$ composites. In: Science and Technology of Advanced Materials, 8 (6). pp. 494-502.

Behera, Girish Ch and Ramakrishnan, S (2007) Dramatic Effect of Solvent on the NMR Spectral Resolution of Hyperbranched Polyethers. In: Journal of Polymer Science Part A: Polymer Chemistry, 45 (8). pp. 1474-1480.

Behera, JN and Rao, CNR (2007) Synthesis, structure and magnetic properties of an amine-templated $Mn^{2+}$ (S=5/2) sulfate with the Kagome structure. In: Dalton Transactions, 6 . pp. 669-673.

Behera, Ramesh Chandra and Dutta, Pradip and Srinivasan, K (2007) Numerical Study of Interrupted Impinging Jets for Cooling of Electronics. In: IEEE Transactions on Components and Packaging Technologies, 30 (2). pp. 275-284.

Beig, Abdul Rahiman and Narayanan, G and Ranganathan, VT (2007) Modified SVPWM Algorithm for Three Level VSI With Synchronized and Symmetrical Waveforms. In: IEEE Transactions on Industrial Electronics, 54 (1). pp. 486-494.

Beweries, Torsten and Burlakov, Vladimir V and Bach, Marc A and Peitz, Stephan and Arndt, Perdita and Baumann, Wolfgang and Spannenberg, Anke and Rosenthal, Uwe and Pathak, Biswarup and Jemmis, Eluvathingal D (2007) Tandem Si-C and C-H activation for decamethylhafnocene and bis(trimethylsilyl) acetylene. In: Angewandte Chemie International Edition, 46 (36). pp. 6907-6910.

Beyerlein, IJ and Toth, LS and Tome, CN and Suwas, S (2007) Role of twinning on texture evolution of silver during equal channel angular extrusion. In: Philosophical Magazine, 87 (6). pp. 885-906.

Bhadra, Anindita and Iyer, Priya L and Sumana, A and Deshpandea, Sujata A and Ghosh, Saubhik and Gadagkar, Raghavendra (2007) How do workers of the primitively eusocial wasp Ropalidia marginata detect the presence of their queens? In: Journal of Theoretical Biology, 246 (3). pp. 574-582.

Bharali, Gautam (2007) Some New Observations on Interpolation in the Spectral Unit Ball. In: Integral Equations and Operator Theory, 59 (3). pp. 329-343.

Bharathi, * and Indi, SS and Rao, KSJ (2007) Copper- and iron-induced differential fibril formation in \alpha-synuclein: TEM study. In: Neuroscience Letters, 424 (2). pp. 78-82.

Bhat, KN and Gupta, Das A and Rao, PRS and Gupta, Das N and Bhattacharya, E and Sivakumar, K and Kumar, Vinoth V and Anitha, Helen L and Joseph, JD and Madhavi, SP and Natarajan, K (2007) Wafer bonding - A powerful tool for MEMS. In: Indian Journal of Pure and Applied Physics, 45 (4). pp. 311-316.

Bhat, GS and Narasimha, R (2007) Indian summer monsoon experiments. In: Current Science, 93 (2). pp. 153-164.

Bhat, Shreedhar and Maitra, Uday (2007) Hydrogels as Reaction Vessels: Acenaphthylene Dimerization in Hydrogels Derived from Bile Acid Analogues. In: Molecules, 12 (9). pp. 2181-2189.

Bhat, Shreedhar and Maitra, Uday (2007) Low molecular mass cationic gelators derived from deoxycholic acid: remarkable gelation of aqueous solvents. In: Tetrahedron, 63 (31). pp. 7309-7320.

Bhatnagar, Shalabh (2007) Adaptive Newton-based multivariate smoothed functional algorithms for simulation optimization. In: ACM Transactions on Modeling and Computer Simulation, 18 (1). 2:1-2:35.

Bhattacharjee, Subhro and Maiti, Moitri and Sengupta, K (2007) Theory of tunneling conductance of graphene normal metal-insulator-superconductor junctions. In: Physical Reveiw B, 76 (184514). 184514-1-184514-7.

Bhattacharya, Victoria and Chattopadhyay, Kamanio (2007) Phase transformation in nanoscale indium-tin alloy particles embedded in metallic matrices. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 1736-1743.

Bhattacharya, C and Mahapatra, Pravas R (2007) A discrete wavelet transform approach to multiresolution complex SAR image generation. In: IEEE Geoscience and Remote Sensing Letters, 4 (3). pp. 416-420.

Bhattacharya, Mily and Samuelson, Ashoka G and Das, Puspendu K (2007) Enhancement of Quadratic Nonlinearity via Multiple Hydrogen-Bonded Supramolecular Complex Formation. In: Journal of Physcial Chemistry B, 111 (25). pp. 7122-7126.

Bhattacharya, Santanu and Bajaj, Avinash (2007) Membrane-Forming Properties of Gemini Lipids Possessing Aromatic Backbone between the Hydrocarbon Chains and the Cationic Headgroup. In: Journal of Physical Chemistry B, 111 (48). pp. 13511-13519.

Bhattacharya, Santanu and Bajaj, Avinash (2007) Membrane-Forming Properties of Pseudoglyceryl Backbone Based Gemini Lipids Possessing Oxyethylene Spacers. In: Journal of Physical Chemistry, 111 (10). pp. 2463-2472.

Bhattacharya, Santanu and Bajaj, Avinash (2007) Thermotropic and hydration studies of membranes formed from gemini pseudoglyceryl lipids possessing polymethylene spacers. In: Langmuir, 23 (17). pp. 8988-8994.

Bhattacharya, Santanu and Chaudhuri, Padmaparna (2007) Metal-ion-mediated tuning of duplex DNA binding by bis(2-(2-pyridyl)-1H-benzimidazole). In: Chemistry - An Asian Journal, 2 (5). pp. 648-655.

Bhattacharya, Sourangshu and Bhattacharyya, Chiranjib and Chandra, Nagasuma R (2007) Comparison of protein structures by growing neighborhood alignments. In: BMC Bioinformatics, 8 (77).

Bhattacharya, Victoria and Chattopadhyay, Kamanio (2007) Melting of multiphase nano-scaled particles embedded in Al matrix: Case of Pb–Sn and Bi–Sn alloys. In: Materials Science and Engineering A, 449-45 . pp. 1003-1008.

Bhaumik, SK and Lakshmisha, KN (2007) Lattice Boltzmann simulation of lid-driven swirling flow in confined cylindrical cavity. In: Computers & Fluids, 36 (7). pp. 1163-1173.

Bhimalapuram, Prabhakar and Chakrabarty, Suman and Bagchi, Biman (2007) Elucidating the Mechanism of Nucleation near the Gas-Liquid Spinodal. In: Physical Review Letters, 98 . 206104-1-4.

Bhowmick, R and Bysakh, S and Kawamura, Y and Yamasaki, M and Ramamurty, U and Chattopadhyay, K (2007) Microstructure and mechanical properties of electron beam weld joints of a $Zr_{41}Ti_{14}Cu_{12}Ni_{10}Be_{23}$ bulk metallic glass with Zr. In: Journal of Materials Research, 22 (2). pp. 437-444.

Bhowmick, R and Bysakh, S and Kawamura, Y and Yamasaki, M and Ramamurty, U and Chattopadhyay, K (2007) Microstructure and mechanical properties of electron beam weld joints of a Zr41Ti14Cu12Ni10Be23 bulk metallic glass with Zr. In: Journal of Materials Research, 22 (2).

Bhowmick, Ranadeep and Majumdar, Bhaskar and Misra, Dinesh K and Ramamurty, Upadrasta and Chattopadhyay, K (2007) Synthesis of bulk metallic glass composites using high oxygen containing Zr sponge. In: Journal of Materials Science, 42 (22). pp. 9359-9365.

Bid, Aveek and Bora, Achyut and Raychaudhuri, AK (2007) Debye Temperature of Metallic Nanowires—An Experimental Determination from the Resistance of Metallic Nanowires in the Temperature Range 4.2 K-300 K. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 1867-1870.

Binotto, G and Larcher, D and Prakash, AS and Urbina, Herrera R and Hegde, MS and Tarascon, JM (2007) Synthesis, Characterization, and Li-Electrochemical Performance of Highly Porous $Co_3O_4$ Powders. In: Chemistry of Materials, 19 (12). pp. 3032-3040.

Bist, Pradeep and Madhusoodanan, Urulangodi Kunhiraman and Rao, Desirazu N (2007) A Mutation in the Mod Subunit of EcoP15I Restriction Enzyme Converts the DNA Methyltransferase to a Site-specific Endonuclease. In: Journal of Biological Chemistry, 282 (6). pp. 3520-3530.

Biswal, Sudhansu S and Choudhury, Debajyoti and Godbole, Rohini M and Singh, Ritesh K (2007) Anomalous $V V H$ interactions at a linear collider. In: Pramana-Journal of Physics, 69 (5). pp. 777-782.

Biswarup, Saikia and Kumar, Phanindra and Thomas, Job and Rao, Nanjunda KS and Ramaswamy, Ananth (2007) Strength and serviceability performance of beams reinforced with GFRP bars in flexure. In: Construction and Building Materials, 21 (8). pp. 1709-1719.

Biswas, Kanishka and Rao, CNR (2007) Synthesis and characterization of nanocrystals of the oxide metals, $RuO_2$, $IrO_2$, and $ReO_3$. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 1969-1974.

Biswas, Indranil and Gadgil, Siddhartha and Sankaran, Parameswaran (2007) On theta characteristics of a compact Riemann surface. In: Bulletin Des Sciences Mathematiques, 131 (5). pp. 493-499.

Biswas, K and Das, Kumar P and Chattopadhyay, K (2007) Microstructural evolution and metastable phase formation in laser ablation-deposited films of Fe-rich Fe–Ge intermetallic compounds. In: Philosophical Magazine, 87 (2). pp. 307-323.

Biswas, K and Phanikumar, G and Holland, Moritz D and Herlach, Dieter M and Chattopadhyay, K (2007) Disorder trapping and grain refinement during solidification of undercooled Fe–18 at% Ge melts. In: Philosophical Magazine, 87 (25). pp. 3817-3837.

Biswas, Kanishka and Bhat, SV and Rao, CNR (2007) Surface-Enhanced Raman Spectra of Aza-aromatics on Nanocrystals of Metallic $ReO_3$. In: Journal of Physical Chemistry, 111 (15). pp. 5689-5693.

Biswas, Kanishka and Muthu, DVS and Sood, AK and Kruger, MB and Chen, B and Rao, CNR (2007) Pressure-induced phase transitions in nanocrystalline $ReO_3$. In: Journal of Physics: Condensed Matter, 19 . 436214:1-11.

Biswas, Kanishka and Rao, CNR (2007) Use of Ionic Liquids in the Synthesis of Nanocrystals and Nanorods of Semiconducting Metal Chalcogenides. In: Chemistry-A European journal, 13 (21). pp. 6123-6129.

Biswas, Krishanu and Mukhopadhyay, Amartya and Basu, Bikramjit and Chattopadhyay, Kamanio (2007) Densification and microstructure development in spark plasma sintered WC–6 wt% $ZrO_2$ nanocomposites. In: Journal of Materials Research, 22 (6). pp. 1491-1501.

Biswas, Krishanu and Mukhopadhyay, Amartya and Basu, Bikramjit and Chattopadhyay, Kamanio (2007) Densification and microstructure development in spark plasma sintered WC–6 wt% ZrO2 nanocomposites. In: Journal of Materials Research, 22 (6). pp. 1491-1501.

Biswas, Krishanu and Phanikumar, Gandham and Herlach, Dieter M and Chattopadhyay, Kamanio (2007) Non-equilibrium solidification of concentrated Fe–Ge alloys. In: Materials Science and Engineering A, 449-45 . pp. 12-17.

Blanchard, Veronique and Gadkari, Rupali A and Gerwig, Gerrit J and Leeflang, Bas R and Dighe, Rajan R and Kamerling, Johannis P (2007) Characterization of the N-linked oligosaccharides from human chorionic gonadotropin expressed in the methylotrophic yeast Pichia pastoris. In: Glycoconjugate Journal, 24 (1). pp. 33-47.

Boddapati, Srinivasa Rao and Rodel, Jurgen and Jayaram, Vikram (2007) Crack growth resistance (R-curve) behaviour and thermo-physical properties of $Al_2O_3$ particle-reinforced AlN/Al matrix composites. In: Composites Part A: Applied Science and Manufacturing, 38 (3). pp. 1038-1050.

Bodhini, D and Radha, V and Deepa, R and Ghosh, S and Majumder, PP and Rao, MRS and Mohan, V (2007) The G1057D polymorphism of IRS-2 gene and its relationship with obesity in conferring susceptibility to type 2 diabetes in Asian Indians. In: International Journal of Obesity, 31 (1). pp. 97-102.

Bora, A and Bid, A and Raychaudhuri, AK (2007) Stability of metal nanowires $(d \geq 15 nm)$ against electromigration. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 1831-1835.

Borges, Renee M and Ahmed, Saveer and Prabhu, Veena C (2007) Male Ant-mimicking Salticid Spiders Discriminate Between Retreat Silks of Sympatric Females: Implications for Pre-mating Reproductive Isolation. In: Journal of Insect Behaviour, 20 (4). pp. 389-402.

Bose, Partha Pratim and Das, Apurba Kr and Hegde, Raghurama P and Shamala, Narayanaswami and Banerjee, Arindam (2007) pH-Sensitive Nanostructural Transformation of a Synthetic Self-Assembling Water-Soluble Tripeptide: Nanotube to Nanovesicle. In: Chemistry of Materials, 19 (25). 6150 -6157.

Bose, Somenath and Krupanidhi, B (2007) Improved ferroelectric and leakage properties in symmetric $BiFeO_3 /SrTiO_3$ superlattice. In: Applied Physics Letters, 90 (21). 212902 1-21902 3.

Bose, Somenath and Krupanidhi, SB (2007) Improved ferroelectric and leakage properties in symmetric BiFeO3/SrTiO3 superlattice. In: Applied Physics Letters, 90 (21). p. 212902.

Bournaud, F and Jog, CJ and Combes, F (2007) Multiple minor mergers: formation of elliptical galaxies and constraints for the growth of spiral disks. In: Astronomy and Astrophysics, 476 . pp. 1179-1190.

Brinda, * and Mudakavi, Rajeev and Chopra, Deepak and Murthy, Srinivas M and Row, Guru TN (2007) 4-Methyl-2,6-bis(2-naphthylmethylene)-cyclohexan-1-one. In: Acta Crystallographica Section E Structure Reports Online, E62 . o4494 -o4494.

Britto, Ramona and Umesh, S and Hegde, AS and Hegde, Sridevi and Santosh, Vani and Chandramouli, BA and Somasundaram, Kumaravel (2007) Shift in $AP-2\alpha$ Localization Characterizes Astrocytoma Progression. In: Cancer Biology & Therapy, 6 (3). pp. 413-418.

Britto, Sylvia and Radha, AV and Ravishankar, N and Kamath, Vishnu P (2007) Solution decomposition of the layered double hydroxide (LDH) of Zn with Al. In: Solid State Sciences, 9 (3-4). pp. 279-286.

Bujurke, NM and Salimath, CS and Kudenatti, RB and Shiralashetti, SC (2007) Analysis of modified Reynolds equation using the wavelet-multigrid scheme. In: Numerical Methods for Partial Differential Equations, 23 (3). pp. 692-705.

Chaitra, MG and Nayak, R and Shaila, MS (2007) Modulation of immune responses in mice to recombinant antigens from PE and PPE families of proteins of Mycobacterium tuberculosis by the Ribi adjuvant. In: Vaccine, 25 (41). pp. 7168-7176.

Chaitra, MG and Shaila, MS and Nayak, R (2007) Evaluation of T-cell responses to peptides with MHC class I-binding motifs derived from PE_PGRS 33 protein of Mycobacterium tuberculosis. In: Journal of Medical Microbiology, 5 (4). pp. 466-474.

Chakrabarti, Amaresh and Singh, Vishal (2007) A method for structure sharing to enhance resource effectiveness. In: Journal of Engineering Design, 18 (1). pp. 73-91.

Chakrabarti, Dwaipayan and Bagchi, Biman (2007) Comparative study of temperature dependent orientational relaxation in a model thermotropic liquid crystal and in a model supercooled liquid. In: The Journal of Chemical Physics, 126 . 204906-1-204906-6.

Chakrabarti, Dwaipayan and Bagchi, Biman (2007) Glassiness of Thermotropic Liquid Crystals across the Isotropic-Nematic Transition. In: Journal of Physical Chemistry B, 111 (40). 11646 -11657.

Chakrabarti, Dwaipayan and Jana, Biman and Bagchi, Biman (2007) Orientational relaxation in a discotic liquid crystal. In: Physical Review E, 75 (6). 061703-1-061703-7.

Chakrabarti, Rajarshi (2007) Exact analytical evaluation of time dependent transmission coefficient from the method of reactive flux for an inverted parabolic barrier. In: Journal of Chemical Physics, 126 (13). 134106-1-134106-4.

Chakraborty, Aniruddha and Bagchi, Sayan and Sebastian, KL (2007) Buckled Nano Rod - A Two State System and Its Dynamics. In: Journal of Computational and Theoretical Nanoscience, 4 (3). pp. 504-513.

Chakraborty, Atanu and Paul, Bindu Diana and Nagaraja, Valakunja (2007) Bacteriophage Mu C protein is a new member of unusual leucine zipper-HTH class of proteins. In: Protein Engineering Design and Selection, 20 (1). pp. 1-5.

Chakraborty, Jayanta and Kumar, Sanjeev (2007) A new framework for solution of multidimensional population balance equations. In: Chemical Engineering Science, 62 (15). pp. 4112-4125.

Chakraborty, Sanjukta and Khare, Sonal and Dorairaj, Syril Kumar and Prabhakaran, Venkatesh C and Prakash, Ravi D and Kumar, Arun (2007) Identification of genes associated with tumorigenesis of retinoblastoma by microarray analysis. In: Genomics, 90 (3). pp. 344-353.

Chakravartty, JK and Kapoor, R and Banerjee, S and Prasad, YVRK (2007) Characterization of hot deformation behavior of Zr-1Nb-1Sn alloy. In: Journal of Nuclear Materials, 362 (1). pp. 75-86.

Chakraverty, Suvankar and Bandyopadhyay, Malay (2007) Coercivity of magnetic nanoparticles: a stochastic model. In: Journal of Physics: Condensed Matter, 19 (21). pp. 1-16.

Chanakya, HN and Ramachandra, TV and Guruprasad, M and Devi, Vinuta (2007) Micro-treatment options for components of organic fraction of MSW in residential areas. In: Environmental Monitoring and Assessment, 135 (1-3). pp. 129-139.

Chanakya, HN and Ramachandra, TV and Vijayachamundeeswari, M (2007) Resource recovery potential from secondary components of segregated municipal solid wastes. In: Environmental Monitoring and Assessment, 135 (1-3). pp. 119-127.

Chandra, Jagadeesh SV and Rao, Mohan G and Uthanna, S (2007) Heat treatment induced structural and optical properties of rf magnetron sputtered tantalum oxide films. In: Crystal Research and Technology, 42 (3). pp. 290-294.

Chandra, Manabendra and Indi, SS and Das, Puspendu K (2007) Depolarized Hyper-Rayleigh Scattering from Copper Nanoparticles. In: The Journal of Physical Chemistry C, 111 (28). pp. 10652-10656.

Chandran, LS and Sivadasan, N (2007) Boxicity and treewidth. In: Journal of Combinatorial Theory, Series B, 97 (5). pp. 733-744.

Chandran, Sunil L and Ram, Shankar L (2007) On the relationship between ATSP and the cycle cover problem. In: Theoretical Computer Science, 370 (1-3). pp. 218-228.

Chandran, Sunil L and Sivadasan, Naveen (2007) On the Hadwiger’s conjecture for graph products. In: Discrete Mathematics, 307 (2). pp. 266-273.

Chandrasekhar, Sosale (2007) Molecular homochirality and the parity-violating energy difference. A critique with new proposals. In: Chirality, 20 (2). pp. 84-95.

Chandrasekhar, Sosale and Chopra, Deepak and Gopalaiah, Kovuru and Row, Tayur N Guru (2007) The generalized anomeric effect in the 1,3-thiazolidines: Evidence forboth sulphur and nitrogen as electron donors. Crystal structures of various N-acylthiazolidines including mercury(II) complexes. Possible relevance to penicillin action. In: Journal of Molecular Structure, 837 (1-3). pp. 118-131.

Chandrasekhar, B and Rao, SM (2007) Acoustic scattering from fluid bodies of arbitrary shape. In: CMES-Computer Modeling in Engineering & Sciences, 21 (1). pp. 67-80.

Chandrasekhar, Sosale and Karri, Phaneendrasai (2007) Erlenmeyer azlactone synthesis with aliphatic aldehydes under solvent-free microwave conditions. In: Tetrahedron Letters, 48 (5). pp. 785-786.

Chandrasekharam, K and Rao, G Srinivasa and Prasad, AP Shiva and Vedavathy, TS (2007) Simulation and implementation of a tunable C-band dielectric resonator oscillator. In: Journal of Spacecraft Technology, 17 (1). pp. 1-13.

Chandrashekar, TS and Narahari, Y and Rosa, Charles H and Kulkarni, Devadatta M and Tew, Jeffrey D and Dayama, Pankaj (2007) Auction-Based Mechanisms for Electronic Procurement. In: IEEE Transactions on Automation Science and Engineering, 4 (3). pp. 297-321.

Chatterjee, Debarati and Chaudhury, Srabanti and Cherayil, Binny J (2007) The dynamics of intermittent strand separation in double-stranded DNA. In: The Journal of Chemical Physics, 127 (15). p. 155104.

Chatterjee, S and Sreenivas, TV (2007) Analysis of Conditional PDF-Based Split VQ. In: IEEE Signal Processing Letters, 14 (11). pp. 781-784.

Chatterjee, Saikat and Sreenivas, TV (2007) Conditional PDF-Based Split Vector Quantization of Wideband LSF Parameters. In: Signal Processing Letters, 14 (9). pp. 641-644.

Chatterjee, Sunanda and Roy, Rituparna Sinha and Balaram, P (2007) Expanding the polypeptide backbone: hydrogen-bonded conformations in hybrid polypeptides containing the higher homologues of alpha-amino acids. In: JOURNAL OF THE ROYAL SOCIETY INTERFACE, 4 (15). pp. 587-606.

Chatterji, Dipankar and Ogawa, Yoshito and Shimada, Tomohiro and Ishihama, Akira (2007) The role of the omega subunit of RNA polymerase in expression of the relA gene in Escherichia coli. In: FEMS Microbiology Letters, 267 (1). pp. 51-55.

Chattopadhyay, Tanmay and Banu, Kazi Sabnam and Banerjee, Arpita and Ribas, Joan and Majee, Adinath and Nethaji, Munirathinam and Das, Debasis (2007) A novel single pot synthesis of binuclear copper(II) complexes of macrocyclic and macroacyclic compartmental ligands: Structures and magnetic properties. In: Journal of Molecular Structure, 833 (1-3). pp. 13-22.

Chattopadhyay, Tanmay and Islam, Samimul and Nethaji, Munirathinam and Majee, Adinath and Das, Debasis (2007) Mono- and bi-metallic Mn(III) complexes of macroacyclic salen type ligands: Syntheses, characterization and studies of their catalytic activity. In: Journal of Molecular Catalysis A: Chemical, 267 (1-2). pp. 255-264.

Chaturvedi, S and Ercolessi, E and Marmo, G and Morandi, G and Mukunda, N and Simon, R (2007) Ray space 'Riccati' evolution and geometric phases for N-level quantum systems. In: Pramana- Journal of Physics, 69 (3). pp. 317-327.

Chaudhuri, A and Sekhar, M (2007) Analysis of biodegradation in a 3-D heterogeneous porous medium using nonlinear stochastic finite element method. In: Advances in water Resources, 30 (3). pp. 589-605.

Chaudhuri, A and Sekhar, M (2007) Stochastic finite element method for analysis of transport of nonlinearly sorbing solutes in three-dimensional heterogeneous porous media. In: Water Resources Research, 43 (7). W07442-W07442.

Chaudhuri, Ayan Roy and Krupanidhi, SB (2007) Engineered Biferroic 0.7Pb(Mg1/3Nb2/3)O3-0.3PbTiO3/La0.6Sr0.4MnO3 Epitaxial Superlattices. In: MRS Proceedings, 1034 .

Chaudhuri, Ayan Roy and Ranjith, R and Krupanidhi, SB and Mangalam, RVK and Sundaresan, A (2007) Interface dominated biferroic $La_{0.6}Sr_{0.4}MnO_3 /0.7Pb(Mg_{1/3}Nb_{2/3})O_3-0.3PbTiO_3$ epitaxial superlattices. In: Applied Physics Letters, 90 (12). 122902-1-122902-3.

Chaudhuri, Ayan Roy and Ranjith, R and Krupanidhi, SB and Mangalam, RVK and Sundaresan, A (2007) Interface dominated biferroic La0.6Sr0.4MnO3/0.7Pb(Mg1/3Nb2/3)O3–0.3PbTiO3 epitaxial superlattices. In: Applied Physics Letters , 90 (12). p. 122902.

Chaudhuri, Ayan Roy and Ranjith, R and Krupanidhi, SB and Mangalam, RVK and Sundaresan, A and Majumdar, S and Ray, SK (2007) Realization of biferroic properties in $La_{0.6}Sr_{0.4}MnO_3 /0.7Pb(Mg_{1/3}Nb_{2/3})O_3–0.3(PbTiO_3)$ epitaxial superlattices. In: Journal of Applied Physics, 101 (11). pp. 114104-114109.

Chaudhuri, Ayan Roy and Ranjith, R and Krupanidhi, SB and Mangalam, RVK and Sundaresan, A and Majumdar, S and Ray, SK (2007) Realization of biferroic properties in La0.6Sr0.4MnO3/0.7Pb(Mg1/3Nb2/3)O3–0.3(PbTiO3) epitaxial superlattices. In: Journal of Applied Physics, 101 (11). p. 114104.

Chaudhuri, Padmaparna and Ganguly, Bishwajit and Bhattacharya, Santanu (2007) An Experimental and Computational Analysis on the Differential Role of the Positional Isomers of Symmetric Bis-2-(pyridyl)-1H-benzimidazoles as DNA Binding Agents. In: Journal of Organic Chemistry, 72 (6). pp. 1912-1923.

Chaudhuri, Padmaparna and Majumder, Hemanta K and Bhattacharya, Santanu (2007) Synthesis, DNA Binding, and Leishmania Topoisomerase Inhibition Activities of a Novel Series of Anthra[1,2-d]imidazole-6,11-dione Derivatives. In: Journal of Medicinal Chemistry, 50 (10). pp. 2536-2540.

Chaudhury, Kunal N and Ramakrishnan, KR (2007) Stability and convergence of the level set method in computer vision. In: Pattern Recognition Letters, 28 (7). pp. 884-893.

Chaudhury, Srabanti and Cherayil, Binny J (2007) Dynamic disorder in single-molecule Michaelis-Menten kinetics: The reaction-diffusion formalism in the Wilemski-Fixman approximation. In: Journal of Chemical Physics, 127 (10). pp. 105103-1.

Chaudhury, Srabanti and Cherayil, Binny J (2007) Modulation of electron transfer kinetics by protein conformational fluctuations during early-stage photosynthesis. In: Journal of Chemical Physics, 127 . 145103:1 -6.

Chaudhury, Srabanti and Kou, SC and Cherayil, Binny J (2007) Model of Fluorescence Intermittency in Single Enzymes. In: Journal of Physical Chemistry B, 111 (9). pp. 2377-2384.

Chen, N and Yao, Kefu and Louzguine-Luzgin, DV and Qiu, Shengbao and Ranganathan, S and Inoue, A (2007) Phase transformations in the rapidly solidified Ti40Zr20Hf20Pd20 alloy. In: Scripta Materialia, 57 (7). pp. 631-634.

Chittori, Sagar and Simanshu, Dhirendra K and Savithri, HS and Murthy, MRN (2007) Structure of the putative mutarotase YeaD from Salmonella typhimurium in two different forms: in vivo binding of a sugar phosphate. In: Acta Crystallographica Section D Biological Crystallography, 63 (2). pp. 197-205.

Chittori, Sagar and Simanshu, Dhirendra K and Savithri, HS and Murthy, MRN (2007) Structure of the putative mutarotase YeaD from Salmonella typhimurium: structural comparison with galactose mutarotases. In: Acta Crystallographica Section D- Biological Crystallography, 63 (2). pp. 197-205.

Chockalingam, SP and Sarangi, S and Bhat, SV and Oka, K and Nishihara, Y (2007) Studies on ac losses in $Bi_2Sr_2CaCu_2O_8$ single crystals. In: Physica C: Superconductivity, 460-46 (2). pp. 719-721.

Chokshi, Paresh and Kumaran, V (2007) Stability of the flow of a viscoelastic fluid past a deformable surface in the low Reynolds number limit. In: Physics of Fluids, 19 (10). p. 104103.

Chokshi, Paresh and Kumaran, V (2007) Stability of the viscous flow of a polymeric fluid past a flexible surface. In: Physics of Fluids, 19 . 034102-1-034102-15.

Chopra, D and Thiruvenkatam, V and Manjunath, SG and Row, TNG (2007) Variability in halogen interactions: In situ cryocrystallization of low melting substituted trifluoroacetophenones. In: Crystal Growth & Design, 7 (5). pp. 868-874.

Chopra, D and Venugopala, KN and Rao, GK and Row, TNG (2007) 3-Dibromoacetyl-2H-chromen-2-one. In: Acta Crystallographica Section E Structure Reports Online, 63 (6). p. 2826.

Chopra, Deepak and Mohan, TP and Rao, KS and Row, Guru TN (2007) (2E)-Methyl 2-{2-[6-(2-cyanophenoxy)- pyrimidin-4-yloxy]phenyl}-3-methoxyacrylate. In: Acta Crystallographica Section E Structure Reports Online, E63 . o4493-o4493.

Chopra, Deepak and Mohan, TP and Vishalakshi, B and Row, Guru TN (2007) Four substituted pyrazolines. In: Acta Crystallographica Section C - Crystal Structure Communications, 63 (12). o746-o750.

Chopra, Deepak and Mohan, TP and Vishalakshi, B and Row, Guru TN (2007) A series of substituted (2E)-3-(2-fluoro-4-phenoxyphenyl)-1-phenyl-prop-2-en-1-ones. In: Acta Crystallographica Section C - Crystal Structure Communications, 63 (12). o704-o710.

Chopra, Deepak and Nagarajan, K and Roberts, JD and Row, Guru TN (2007) trans-1,2-Difluoro-3,4,5,6,7,8-hexaphenyltricyclo$[4.2.0.0^{2,5}]$octa-3,7-diene. In: Acta Crystallographica Section E Acta Crystallographica Section E Online, E63 . o4557-o4557.

Chopra, Deepak and Row, Guru TN (2007) Evaluation of the interchangeability of C–H and C–F groups: insights from crystal packing in a series of isomeric fluorinated benzanilides. In: CrystEngComm, 10 (1). pp. 54-67.

Chopra, Deepak and Venugopala, KN and Rao, GK (2007) (5S)-1,4-Bis{[(1E)-4-methylbenzylidene]-amino}-5-(thien-2-yl)pyrrolidin-2-one. In: Acta Crystallographica Section E Structure Reports Online, 63 (6). 2840-2840; Sup. 1-10.

Chopra, Deepak and Venugopala, KN and Rao, GK (2007) 6-Bromo-3-(dibromoacetyl)-2H-chromen-2-one. In: Acta Crystallographica Section E Structure Reports Online, E63 . o4872-o4872.

Choudhary, V and Ayappa, KG (2007) Using γ distributions to predict self-diffusivities and density of states of fluids confined in carbon nanotubes. In: Physical Chemistry Chemical Physics, 9 (16). pp. 1952-1961.

Choudhary, Vinit and Ayappa, KG (2007) Using $\gamma$ distributions to predict self-difusivities and density of states of fluids confined in carbon nanotubes. In: Physical Chemistry Chemical Physics, 9 (16). pp. 1952-1961.

Choudhuri, Arnab Rai and Chatterjee, Piyali and Jiang, Jie (2007) Predicting Solar Cycle 24 With a Solar Dynamo Model. In: Physical Review Letters, 98 (13). 131103: 1-4.

Choudhury, Angshuman R and Bhat, Ramakrishna G and Guru Row, Tayur N and Chandrasekaran, Srinivasan (2007) Weak C-sp(3)-H center dot center dot center dot F-C interaction overshadows the strong C C-H center dot center dot center dot O=C hydrogen bond: Structure of pentafluorophenyl prop-2-ynyl carbonate. In: Crystal Growth & Design, 7 (5). pp. 844-846.

Choudhury, Angshuman R and Chopra, Deepak and Guru Row, Tayur N and Nagarajan, Kuppuswamy and Roberts, John D (2007) Chemistry of 1-Fluoro-2,3,4-triphenylcyclobutadiene Dimers. In: Journal of Organic Chemistry, 72 (25). pp. 9732-9735.

Choudhury, Debajyoti and Godbole, Rohini M and Singh, Ritesh K and Wagh, Kshitij (2007) Top production at the Tevatron/LHC and nonstandard, strongly interacting spin one particles. In: Physics Letters B, 657 (1-3). pp. 69-76.

Choudhury, Palash Roy and Krupanidhi, SB (2007) Studies on strontium titanate/barium zirconate superlattices. In: Solid State Communications, 143 (4-5). pp. 223-227.

Choudhury, Paramita Kar and Jaiswal, Manu and Menon, Reghu (2007) Magnetoconductance in single-wall carbon nanotubes: Electron-electron interaction and weak localization contributions. In: Physical Review B, 76 (23). 235432-1-235432-5.

Chowdhury, Rakhi Pait and Gupta, Surbhi and Chatterji, Dipankar (2007) Identification and Characterization of the dps Promoter of Mycobacterium smegmatis: Promoter Recognition by Stress-Specific Extracytoplasmic Function Sigma Factors $ \sigma ^H$ and $ \sigma ^{F \bigtriangledown }$. In: Journal of Bacteriology, 189 (24). p. 8973.

Chowdhury, Rakhi Pait and Chatterji, Dipankar (2007) Estimation of Forster's distance between two ends of Dps protein from mycobacteria: Distance heterogeneity as a function of oligomerization and DNA binding. In: Biophysical Chemistry, 128 (1). pp. 19-29.

Christie, Latha V and Kumar, Lalit and Balakrishnan, N (2007) Analysis of Propagation Characteristics of Circular Corrugated Waveguides Using Coupled Integral Equation Technique. In: Electromagnetics, 27 (4). pp. 229-240.

Christie, V Latha and Kumar, Lalit and Balakrishnan, N (2007) Inverted slot-mode slow-wave structures for traveling-wave tubes. In: IEEE Transactions on Microwave Theory and Techniques, 55 (6). pp. 1112-1117.

Ciuchini, M and Masiero, A and Paradisi, P and Silvestrini, L and Vempati, SK and Vives, O (2007) Soft SUSY breaking grand unification: Leptons vs quarks on the flavor playground. In: Nuclear Physics B, 783 (1-2). pp. 112-142.

Claudy Rayan, Serrao and Sundaresan, A and Rao, CNR (2007) Multiferroic nature of charge-ordered rare earth manganites. In: Journal of Physics: Condensed Matter, 19 (49). 496217:1-5.

Clavel, Caroline and Canales, Angeles and Gupta, Garima and Canada, Javier F and Penades, Soledad and Surolia, Avadhesha and Jimenez, Jesus B (2007) NMR Investigation of the Bound Conformation of Natural and Synthetic Oligomannosides to Banana Lectin. In: European Journal of Organic Chemistry, 2007 (10). pp. 1577-1585.

Clavel, Caroline and Canales, Angeles and Gupta, Garima and Santos, Ignacio J and Cañada, Javier F and Penadés, Soledad and Surolia, Avadesha and Jiménez-Barbero, Jesús (2007) NMR studies on the conformation of oligomannosides and their interaction with banana lectin. In: Glycoconjugate Journal, 24 (8). pp. 449-464.

Clutton-Brock, TH and Isvaran, K (2007) Sex differences in ageing in natural populations of vertebrates. In: Proceedings of the Royal Society B-Biological Sciences, 274 (1629). pp. 3097-3104.

Constantin, M and Dasgupta, C and Sarma, Das S and Dougherty, DB and Williams, ED (2007) Persistence and survival in equilibrium step fluctuations. In: Journal of Statistical Mechanics: Theory and Experiment (P07011).

Crampton, Neal and Roes, Stefanie and Dryden, David TF and Rao, Desirazu N and Edwardson, J Michael and Henderson, Robert M (2007) DNA looping and translocation provide an optimal cleavage mechanism for the type III restriction enzymes. In: EMBO Journal, 26 (16). 3815 -3825.

Crampton, N and Yokokawa, M and Dryden, DTF and Edwardson, JM and Rao, DN and Takeyasu, K and Yoshimura, SH and Henderson, RM (2007) Fast-scan atomic force microscopy reveals that the type III restriction enzyme EcoP15I is capable of DNA translocation and looping. In: Proceedings Of The National Academy Of Sciences Of The United States Of America, 104 (31). pp. 12755-12760.

Das, Anindya and Sood, AK and Govindaraj, A and Saitta, Marco A and Lazzeri, Michele and Mauri, Francesco and Rao, CNR (2007) Doping in Carbon Nanotubes Probed by Raman and Transport Measurements. In: Physical Review Letters, 99 (13). 136803-1-136803-4.

Das, Bibhuti B and Ajithkumar, TG and Sinha, Neeraj and Opella, Stanley J and Ramanathan, KV (2007) Cross- and axial-peak intensities in 2D-SLF experiments based on cross-polarization—The role of the initial density matrix. In: Journal of Magnetic Resonance, 185 (2). pp. 308-317.

Das, Bibhuti B and Mitra, Avik and Ramanathan, KV (2007) Reconstruction of a solid-state high-resolution heteronuclear J-resolved 2D spectrum from 1D experiments. In: Chemical Physics Letters, 442 (4-6). pp. 474-477.

Das, Dipankar and Natarajan, Vasant (2007) Absolute frequency measurement of the lithium D lines: Precise determination of isotope shifts and fine-structure intervals. In: Physical Review A, 75 (5). 052508-1-052508-9.

Das, Dipankar and Natarajan, Vasant (2007) Laser cooling of $^{173}Yb$ for isotope separation and precision hyperfine spectroscopy. In: Physical Review A, 76 (6). 062505-1-062505-5.

Das, Mahua and Shivashankar, SA (2007) Synthesis, characterization and investigation of the thermal behaviour of six novel polynuclear cobalt and copper complexes for potential application in MOCVD. In: Applied Organometallic Chemistry, 21 (1). pp. 15-25.

Das, Mili and Kobayashi, Masanori and Yamada, Yusuke and Sreeramulu, Sridhar and Ramakrishnan, C and Wakatsuki, Soichi and Kato, Ryuichi and Varadarajan, Raghavan (2007) Design of Disulfide-linked Thioredoxin Dimers and Multimers Through Analysis of Crystal Contacts. In: Journal of Molecular Biology, 372 (5). pp. 1278-1292.

Das, Sarmistha and Varalakshmi, K and Jayaram, V. and Biswas, SK (2007) Ultra Mild Wear in Lubricated Tribology of an Aluminium Alloy. In: Journal of Tribology, 129 (4). pp. 942-951.

Dasgupta, Chandan and Valls, Oriol T (2007) Phase diagram of randomly pinned vortex matter in layered superconductors: Dependence on the details of the point pinning. In: Physical Review B, 76 . 184509-1-184509-12.

Dasgupta, Suryasarathi and Navarrete, Ana-Maria and Delignat, Sandrine and Wootla, Bharath and Andre, Sebastien and Nagaraja, Valakunja and Lacroix-Desmazes, Sebastien and Kaveri, Srinivas V (2007) Immune response against therapeutic factor VIII in hemophilia A patients—A survey of probable risk factors. In: Immunology Letters, 110 (1). pp. 23-28.

Dasgupta, T and Umarji, AM (2007) Thermal properties of MOSi2 with minor aluminum substitutions. In: Intermetallics, 15 (2). pp. 128-132.

Dasgupta, T and Waghmare, UV and Umarji, AM (2007) Electronic signatures of ductility and brittleness. In: Physical Review B, 76 . pp. 174110-1.

Dash, Banaja P and Mukherjee, Sumanto and Suhas, VL and Chandra, Nagasuma (2007) Interaction Profiling of T-Cell Epitopes with MHC-Class I Molecules. In: Protein and Peptide Letters, 14 (6). pp. 557-564.

Datta, Basudeb (2007) Minimal Triangulations of Manifolds. In: Journal of the Indian Institute of Science, 87 (4).

Dayama, Pankaj and Narahari, Y (2007) Design of multiunit electronic exchanges through decomposition. In: IEEE Transactions on Automation Science and Engineering, 4 (1). pp. 67-74.

De, Joyita and Umarji, AM and Chattopadhyay, K (2007) Origin of contamination and role of mechanochemistry during mechanical alloying: the case of Ag–Te alloys. In: Materials Science and Engineering A, 449-45 . pp. 1062-1066.

De, Prithwiraj and Nonappa, * and Pandurangan, Komala and Maitra, Uday and Wailes, Steve (2007) CuI-Mediated Cross-Coupling of Aryl Halides with Oximes: A Direct Access to O-Aryloximes. In: Organic Letters, 9 (15). pp. 2767-2770.

De, Susmita and Parameswaran, Pattiyil and Jemmis, Eluvathingal D (2007) A Theoretical Study on the Mechanism of Boron Metathesis. In: Inorganic Chemistry, 46 (15). pp. 6091-6098.

Deb, A and Cheruvu, KS (2007) Setting initial targets in vehicle side impact safety design using regression-based modeling. In: International Journal of Crashworthiness, 12 (4). pp. 401-410.

Deb, A and Malvade, I and Biswas, P and Schroeder, J (2007) An experimental and analytical study of the mechanical behaviour of adhesively bonded joints for variable extension rates and temperatures. In: International Journal of Adhesion and Adhesives, 28 (1-2). pp. 1-15.

Deb, AK and Cama, HR (2007) Studies on human lactation. Dietary nitrogen utilization during lactation, and distribution of nitrogen in mother's milk. In: British Journal of Nutrition, 16 (1). pp. 65-73.

Debdutt, Patro and Bhattacharyya, Saswata and Vikram, Jayaram (2007) Flow Kinetics in Porous Ceramics: Understanding with Non-Uniform Capillary Models. In: Journal of the American Ceramic Society, 90 (10). pp. 340-346.

Debnath, Ananya and Sebastian, KL (2007) Barrier crossing by a star polymer. In: Physical Review E, 76 (5). 051803-1-051803-9.

Deepa, R and Rao, Durga C and Suguna, K (2007) Structure of the extended diarrhea-inducing domain of rotavirus enterotoxigenic protein NSP4. In: Archives of Virology, 152 (5). pp. 847-859.

Demri, Stephane and D’Souza, Deepak (2007) An automata-theoretic approach to constraint LTL. In: Information and Computation, 205 (3). pp. 380-415.

Devaraj, S and Munichandraiah, N (2007) Electrochemical Supercapacitor Studies of Nanostructured $\alpha-MnO_2$ Synthesized by Microemulsion Method and the Effect of Annealing. In: Journal of The Electrochemical Society, 154 (2). A80-A88.

Devarajan, A and Khadar, Abdul M and Chattopadhyay, K (2007) Effect of ball milling on chemically synthesized nanoparticles of $CaCO_3$. In: Materials Science and Engineering: A, 452-45 . pp. 395-400.

Devi, CU and Chandran, RSB and Vasu, RM and Sood, AK (2007) Mechanical property assessment of tissue-mimicking phantoms using remote palpation and optical read-out for amplitude of vibration and refractive index modulation. In: Journal of Biomedical Optics, 12 (2). pp. 24028-1.

Devi, Periasamy and Muthiah, Packianathan Thomas and Row, Tayur Guru N and Thiruvenkatam, Vijay (2007) Hydrogen bonding in pyrimethamine hydrogen adipate. In: Acta Crystallographica Section E: Structure Reports, 63 (10). o4065-o4066.

Devika, M and Reddy, Koteeswara N and Reddy, Sreekantha D and Reddy, Venkatramana S and Ramesh, K and RGopal, ES and Gunasekhar, KR and Ganesan, V and Hahn, YB (2007) Optimization of the distance between source and substrate for device-grade SnS films grown by the thermal evaporation technique. In: Journal of Physics: Condensed Matter, 19 (30).

Devika, M and Reddy, Koteeswara N and Ramesh, K and Ganesan, R and Gunasekhar, KR and Gopal, ESR and Reddy, Ramakrishna KT (2007) Thickness Effect on the Physical Properties of Evaporated SnS Films. In: Journal of the Electrochemical Society, 154 (2). H67-H73.

Dhananjay, * and Krupanidhi, SB (2007) Low threshold voltage ZnO thin film transistor with a $Zn_{0.7}Mg_{0.3}O$ gate dielectric for transparent electronics. In: Journal of Applied Physics, 101 (12). 123717-1-123717-6.

Dhananjay, * and Krupanidhi, SB (2007) Low threshold voltage ZnO thin film transistor with a Zn0.7Mg0.3O gate dielectric for transparent electronics. In: Journal of Applied Physics, 101 (12). p. 123717.

Dhananjay, * and Nagaraja, J and Krupanidhi, SB (2007) Investigations on magnetron sputtered ZnO thin films and Au/ZnO Schottky diodes. In: Physica B: Condensed Matter, 391 (2). pp. 344-349.

Dhananjay, * and Nagaraju, J and Krupanidhi, SB (2007) Investigations on zinc oxide thin films grown on Si (100) by thermal oxidation. In: Materials Science and Engineering: B, 137 (1-3). pp. 126-130.

Dhananjay, * and Nagaraju, J and Krupanidhi, SB (2007) Off-centered polarization and ferroelectric phase transition in Li-doped ZnO thin films grown by pulsed-laser ablation. In: Journal of Applied Physics, 101 . 104104-1-104104-7.

Dhananjay, * and Singh, Satyendra and Nagaraju, J and Krupanidhi, SB (2007) Dielectric anomaly in Li-doped zinc oxide thin films grown by sol-gel route. In: Applied Physics A: Materials Science & Processing, 88 (2). pp. 421-424.

Dhananjaya, HR and Nagabhushanam, J and Pandey, PC (2007) Bilinear plate bending element for thin and moderately thick plates using Integrated Force Method. In: Structural Engineering and Mechanics, 26 (1). pp. 43-68.

Dhar, Debojyoti and Roy, Swagata and Das, Saumitra (2007) Translational control of the interferon regulatory factor 2 mRNA by IRES element. In: Nucleic Acids Research, 35 (16). pp. 5409-5421.

Dinesh, KP and Radhakrishnan, C and Reddy, Manjunatha AH and Gururaja, KV (2007) Nyctibatrachus karnatakaensis nom.nov., a replacement name for the giant wrinkled frog from the Western Ghats. In: Current Science, 93 (2). pp. 246-250.

Diwakar, Swati and Balakrishnan, Rohini (2007) The Assemblage of Acoustically Communicating Crickets of a Tropical Evergreen Forest in Southern India: Call Diversity and Diel Calling Patterns. In: Bioacoustics- The International Journal of Animal Sound and its Recording, 16 (2). pp. 113-135.

Diwakar, Swati and Balakrishnan, Rohini (2007) Vertical stratification in an acoustically communicating ensiferan assemblage of a tropical evergreen forest in southern India. In: Journal Of Tropical Ecology, 23 (Part 4). pp. 479-486.

Diwakar, Swati and Jain, Manjari and Balakrishnan, Rohini (2007) Psychoacoustic sampling as a reliable, non-invasive method to monitor orthopteran species diversity in tropical forests. In: Biodiversity & Conservation, 16 (14). pp. 4081-4093.

Diwan, Sourabh Suhas and Ramesh, ON (2007) Laminar separation bubbles: Dynamics and control. In: Sadhana: Academy Proceedings in Engineering Sciences, 32 (1-2). pp. 103-109.

Dukkipati, A and Bhatnagar, S and Murty, MN (2007) Gelfand-Yaglom-Perez theorem for generalized relative entropy functionals. In: Information Sciences, 177 (24). pp. 5707-5714.

Dukkipati, Ambedkar and Bhatnagar, Shalabh and Murty, Narasimha M (2007) On measure-theoretic aspects of nonextensive entropy functionals and corresponding maximum entropy prescriptions. In: Physica A: Statistical Mechanics and its Applications, 384 (2). pp. 758-774.

Dutta, Gargi and Waghmare, Umesh V and Baidya, Tinku and Hegde, MS (2007) Hydrogen Spillover on $CeO_2/Pt$: Enhanced Storage of Active Hydrogen. In: Chemistry of Materials, 19 (26). pp. 6430-6436.

Elia, Petros and Sethuraman, BA and Kumar, Vijay P (2007) Perfect Space-Time Codes for Any Number of Antennas. In: IEEE Transactions on Information Theory, 53 (11). pp. 3853-3868.

Emge, Andrew and Karthikeyan, S and Kim, HJ and Rigney, DA (2007) The effect of sliding velocity on the tribological behavior of copper. In: Wear, 263 (1-6). pp. 614-618.

Eraiah, B and Anavekar, RV and Asokan, S (2007) Electrical switching and spectroscopic studies of silver-vanado-phosphate glasses. In: Journal of Materials Science, 42 (3). pp. 784-788.

Esposito, P. and Mancini, G. and Santra, Sanjiban and Srikanth, P.N. (2007) Asymptotic behavior of radial solutions for a semi linear elliptic problem on an annulus through Morse index. In: Journal of Differential Equations, 239 (1). pp. 1-15.

Francis, PA and Gadgil, Sulochana and Vinayachandran, PN (2007) Triggering of the positive Indian Ocean dipole events by severe cyclones over the Bay of Bengal. In: Tellus Series A-Dynamic Meteorology And Oceanography, 59 (4). pp. 461-475.

Gadagkar, V and Saha, S and Muthu, DVS and Maiti, PK and Lansac, Y and Jagota, A and Moravsky, A and Loutfy, RO and Sood, AK (2007) Double-walled carbon nanotubes under hydrostatic pressure: Raman experiments and simulations. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 1753-1759.

Gadagkar, R (2007) Rats are nicer than we think, at least to each other. In: Journal of Biosciences, 32 (7). pp. 1223-1225.

Gadgil, Siddhartha (2007) Degree-one maps, surgery and four-manifolds. In: Bulletin of the London Mathematical Society, 39 (3). pp. 419-424.

Gadgil, Siddhartha (2007) On the proof of the Poincare´ conjecture. In: Journal of the Indian Institute of Science, 87 (4).

Gadgil, Siddhartha (2007) Ontheproof of the Poincare´ conjecture. In: Journal of the Indian Institute of Science, 87 (4).

Gadgil, Sulochana and Rajeevan, M and Francis, PA (2007) Monsoon variability: Links to major oscillations over the equatorial Pacific and Indian oceans. In: Current Science, 93 (2). pp. 182-194.

Gadkari, Rupali A and Sandhya, S and Sowdhamini, R and Dighe, Rajan R (2007) The antigen binding sites of various hCG monoclonal antibodies show homology to different domains of LH receptor. In: Molecular and Cellular Endocrinology, 260-26 . pp. 23-32.

Ganapathy, R and Sood, AK and Ramaswamy, S (2007) Superdiffusion of concentration in wormlike-micelle solutions. In: Europhysics Letters(EPL), 77 (1). 18007-p1-18007-p5.

Ganapathy, Rajesh and Rangarajan, Govindan and Sood, AK (2007) Granger causality and cross recurrence plots in rheochaos. In: Physical Review E, 75 (1). 016211:1-6.

Gandhi, KS (2007) Thermal properties of nanofluids: Controversy in the making? In: Current Science, 92 (6). pp. 717-718.

Ganesan, K and Mariyappan, S and Bhat, HL (2007) Influence of magnetic clusters on electrical and magnetic properties of In1-xMnxSb/GaAs dilute magnetic semiconductor grown by liquid phase epitaxy. In: Solid State Communications, 143 (4-5). pp. 272-275.

Ganesh, Vijaya N and Jayaraman, N (2007) Synthesis of Septanosides through an Oxyglycal Route. In: Journal of Organic Chemistry, 72 (15). pp. 5500-5504.

Gangadhar, Vidya and Jeyakani, Justin J and Shaila, MS and Nayak, Rabindranath and Chandra, Nagasuma (2007) Perpetuation of immunological memory through common MHC-I binding modes of peptidomimic and antigenic peptides. In: Biochemical and Biophysical Research Communications, 364 (2). pp. 308-312.

Garg, Dinesh and Jain, Kamal and Talwar, Kunal and Vazirani, Vijay V (2007) A primal-dual algorithm for computing Fisher equilibrium in the absence of gross substitutability property. In: Theoretical Computer Science, 378 (2). pp. 143-152.

Gaur, Rahul and Bjork, Glenn R and Tuck, Simon and Varshney, Umesh (2007) Diet-dependent depletion of queuosine in tRNAs in Caenorhabditis elegans does not lead to a developmental block. In: Journal of Biosciences, 32 (4). pp. 747-754.

Gautam, S and Mitra, S and Sayeed, A and Yashonath, S and Chaplot, SL and Mukhopadhyay, R (2007) Diffusion of 1,3-butadiene adsorbed in Na–Y zeolite: Neutron scattering study. In: Chemical Physics Letters, 442 (4-6). pp. 311-315.

Gayathri, P and Balaram, Hemalatha and Murthy, MRN (2007) Structural biology of plasmodial proteins. In: Current Opinion in Structural Biology, 17 (6). pp. 744-754.

Gayathri, P and Banerjee, Mousumi and Vijayalakshmi, A and Azeez, Shamina and Balaram, Hemalatha and Balaram, P and Murthy, MRN (2007) Structure of triosephosphate isomerase (TIM) from Methanocaldococcus jannaschii. In: Acta Crystallographica Section D-Biological Crystallography, 63 (2). pp. 206-220.

Gayen, Rupanwita and Mandal, BN and Chakrabarti, A (2007) Water wave diffraction by a surface strip. In: Journal of Flued Mechanics, 571 . pp. 419-438.

George, RK and Chalishajar, DN and Nandakumaran, AK (2007) Exact controllability of the nonlinear third-order dispersion equation. In: Journal of Mathematical Analysis and Applications, 332 (2). pp. 1028-1044.

Ghaemi, Pouyan and Senthil, T (2007) Higher angular momentum Kondo liquids. In: Physical Review B, 75 (14). pp. 144412-11.

Ghosal, Gargi and Muniyappa, K (2007) The Characterization of Saccharomyces cerevisiae Mre11/Rad50/Xrs2 Complex Reveals that Rad50 Negatively Regulates Mre11 Endonucleolytic but not the Exonucleolytic Activity. In: Journal of Molecular Biology, 372 (4). pp. 864-882.

Ghosh, Manoranjan and Raychaudhuri, AK (2007) Structure and optical properties of Cd-substituted ZnO (Zn1-xCdxO) nanostructures synthesized by the high-pressure solution route. In: Nanotechnology, 18 (11).

Ghosh, Manoranjan and Raychaudhuri, AK (2007) Structure and optical properties of Cd-substituted ZnO (Zn1-xCdxO) nanostructures synthesized by the high-pressure solution route. In: Nanotechnology, 18 (11).

Ghosh, Amit and Brinda, KV and Vishveshwara, Saraswathi (2007) Dynamics of Lysozyme Structure Network: Probing the Process of Unfolding. In: Biophysical Journal, 92 . pp. 2523-2535.

Ghosh, Amit and Vishveshwara, Saraswathi (2007) A study of communication pathways in methionyl- tRNA synthetase by molecular dynamics simulations and structure network analysis. In: Proceedings of the National Academy of Sciences of the United States of America (PNAS), 104 (40). pp. 15711-15716.

Ghosh, C and Paul, A (2007) A physico-chemical approach in binary solid-state interdiffusion. In: Acta Materialia, 55 (6). pp. 1927-1939.

Ghosh, DP and Gopalakrishnan, S (2007) A superconvergent finite element for composite beams with embedded magnetostrictive patches. In: Composite Structures, 79 (3). pp. 315-330.

Ghosh, Mrinal K and Rao, Mallikarjuna KS (2007) Zero-sum stochastic games with stopping and control. In: Operations Research Letters, 35 (6). pp. 799-804.

Ghosh, R and Narayanan, G (2007) A Single-Phase Boost Rectifier System for Wide Range of Load Variations. In: IEEE Transactions on Power Electronics, 22 (2). pp. 470-479.

Ghosh, Rajesh and Narayanan, G (2007) Generalized Feedforward Control of Single-Phase PWM Rectifiers Using Disturbance Observers. In: IEEE Transactions on Industrial Electronics, 54 (2). pp. 984-993.

Ghosh, Rajesh and Narayanan, G (2007) A Simple Analog Controller for Single-Phase Half-Bridge Rectifier. In: IEEE Transactions On Power Electronics, 22 (1). pp. 186-198.

Ghosh, S and Roy, A and Roy, D (2007) An adaptation of adomian decomposition for numeric–analytic integration of strongly nonlinear and chaotic oscillators. In: Computer Methods in Applied Mechanics and Engineering, 196 (4-6). pp. 1133-1153.

Ghosh, S and Roy, D (2007) Multi-step tangential versus transversal linearizations in non-linear dynamics. In: International Journal for Numerical Methods in Engineering, 72 (5). pp. 582-605.

Ghosh, S and Roy, D (2007) Numeric-Analytic Form of the Adomian Decomposition Method for Two-Point Boundary Value Problems in Nonlinear Mechanics. In: Journal of Engineering Mechanics, 133 (10). pp. 1124-1133.

Ghosh, SK and Ganapathy, R and Krishnaswamy, R and Bellare, J and Raghunathan, VA and Sood, AK (2007) Structure of Mesh Phases in a Cationic Surfactant System with Strongly Bound Counterions. In: Langmuir, 23 (7). 3606 - 3614.

Ghosh, Sandeep and Biswas, Kanishka and Rao, CNR (2007) Core–shell nanoparticles based on an oxide metal: $ReO_3$ @Au (Ag) and $ReO_3$@$SiO_2 (TiO_2)$. In: Journal of Materials Chemistry, 17 (23). pp. 2412-2417.

Ghosh, Sandeep and Ghosh, Moumita and Rao, CNR (2007) Nanocrystals, Nanorods and other Nanostructures of Nickel, Ruthenium, Rhodium and Iridium prepared by a Simple Solvothermal Procedure. In: Journal of Cluster Science, 18 (1). pp. 97-111.

Ghosh, Shubhrangshu and Mukhopadhyay, Banibrata (2007) Generalized Pseudo-Newtonian Potential for Studying Accretion Disk Dynamics in Off-Equatorial Planes Around Rotating Black Holes: Description of a Vector Potential. In: The Astrophysical Journal, 667 (1). pp. 367-374.

Ghosh, Shuva J and Roy, D and Manohar, CS (2007) New forms of extended Kalman filter via transversal linearization and applications to structural system identification. In: Computer Methods in Applied Mechanics and Engineering, 196 (49-52). pp. 5063-5083.

Ghosh, Subimal and Mujumdar, PP (2007) Nonparametric methods for modeling GCM and scenario uncertainty in drought assessment. In: Water Resources Research, 43 (W07405). pp. 1-19.

Ghosh, Subimal and Mujumdar, PP (2007) Statistical Downscaling of GCM Simulations to Streamflow using Relevance Vector Machine. In: Advances in Water Resources .

Ghosh, Sushobhan and Batten, Stuart R and Mukherjee, Partha Sarathi (2007) Self-assembly of a nanoscopic platinum(II) double square cage. In: 0276-7333, 26 (13). 3252 -3255.

Ghosh, Sushobhan and Batten, Stuart R and Turner, David R and Mukherjee, Partha Sarathi (2007) Self-assembly of a nanoscopic platinum(II) double square cage. In: Organometallics, 26 (13). pp. 3252-3255.

Ghosh, Sushobhan and Mukherjee, Partha Sarathi (2007) Self-Assembly of Metallamacrocycles via a Rigid Phosphorus Donor Linker. In: Organometallics, 26 (14). pp. 3362-3367.

Ghosh, Sushobhan and Mukherjee, Partha Sarathi (2007) Self-assembly of metal–organic hybrid nanoscopic rectangles. In: Dalton Transactions (24). pp. 2542-2546.

Ghosh, Sushobhan and Turner, David R and Batten, Stuart R and Mukherjee, Partha Sarathi (2007) Self-assembly of a heterometallic molecular triangle using an ambidentate ligand and self-selection for a single linkage isomer. In: Dalton Transactions, 19 . pp. 1869-1871.

Ghosh , Amit and Vishveshwara , Saraswathi (2007) Communication pathways from the anti-codon region to the aminoacylation site in Methionyl tRNA Synthetase: Molecular dynamics simulations and the structure network analysis. In: Proceedings of the National Academy of Sciences, 104 (40). pp. 15711-15716.

Ghoshal, Shamik and Wadawale, Amey and Jain, Vimal K and Nethaji, Munirathinam (2007) Synthesis and characterisation of dialkyl[2-(2-phenolato) xazolines] of gallium(III) and indium(III): crystal structure of $[\{InMe_2(OC_6H_4-OxMe_2)\}_2]$. In: Journal of Chemical Research, 2007 (4). pp. 221-225.

Gnaneshwar, N (2007) A degenerate kernel method for eigenvalue problems of compact integral operators. In: Advances in Computational Mathematics, 27 (3). pp. 339-354.

Godbole, Rohini M and Kraml, Sabine and Rindani, Saurabh D (2007) Probing CP-violating Higgs contributions in \gamma \gamma \longrightarrow $f$ $^-_f$. In: Pramana-Journal of Physics, 69 (5). pp. 771-775.

Godbole, Rohini M and Miller, David J and Muhlleitner, Margarete M (2007) Aspects of CP violation in the HZZ coupling at the LHC. In: Journal of High Energy Physics (12).

Godbole, Rohini M and Rindani, Saurabh D and Singh, Ritesh K (2007) Lepton distribution in top decay: A probe of new physics and top-polarization. In: Pramana-Journal of Physics, 69 (5). pp. 915-919.

Gopakumar, R and Mujumdar, PP (2007) A fuzzy dynamic wave routing model. In: Hydrological Processes .

Gopal, Judy and Muraleedharan, P and George, RP and Sarvamangala, H and Kalaichelvan, PT and Khatak, HS and Natarajan, KA (2007) Morphological differentiation observed in manganese oxidizing bacterial colonies. In: National Academy Science Letters (India), 30 (11-12). pp. 371-375.

Gopalakrishnan, K and Sheik, SS and Ranjani, Vasuki C and Udayakumar, A and Sekar, K (2007) Conformational Angles Database (CADB-3.0). In: Protein and Peptide Letters, 14 (7). pp. 665-668.

Gopalakrishnan, K and Sowmiya, G and Sheik, SS and Sekar, K (2007) Ramachandran Plot on The Web (2.0). In: Protein and Peptide Letters, 14 (7). pp. 669-671.

Goswami, GK and Nanda, KK (2007) Comment on Size-dependent melting behavior of Zn nanowire arrays[Appl. Phys. Lett. 88, 173114 (2006)]. In: Applied Physics Letters, 91 (19). 196101-1-196101-2.

Govindaraj, A and Vivekchand, SRC and Rao, CNR (2007) Novel vapor phase reactions for the synthesis and modification of carbon nanotubes and inorganic nanowires. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 1695-1702.

Gowd, Konkallu Hanumae and Krishnan, KS and Balaram, Padmanabhan (2007) Identification of Conus amadis disulfide isomerase: minimum sequence length of peptide fragments necessary for protein annotation. In: Molecular BioSystems, 3 (8). pp. 554-566.

Gowda, Shivaraj and Kumaran, M and Suma, BS and Rao, MRS (2007) Single Nucleotide Polymorphism Analysis of the Nucleotide Excision Repair Genes XPC, XPA, and XPG in the Indian Population. In: Human Biology, 79 (5). pp. 545-562.

Gowda, Veeranna VC and Reddy, Narayana C and Radha, KC and Anavekar, RV and Etourneau, J and Rao, KJ (2007) Structural investigations of sodium diborate glasses containing PbO, $Bi_2O_3$ and $TeO_2$: Elastic property measurements and spectroscopic studies. In: Journal of Non-Crystalline Solids, 353 (11-12). pp. 1150-1163.

Gowri, VS and Anamika, K and Gore, S and Srinivasan, N (2007) Analysis on sliding helices and strands in protein structural comparisons: A case study with protein kinases. In: Journal of Biosciences, 32 (5). pp. 921-928.

Gowri, VS and Tina, KG and Krishnadev, O and Srinivasan, N (2007) Strategies for the Effective Identification of Remotely Related Sequences in Multiple PSSM Search Approach. In: Proteins: Structure, Function, and Bioinformatics, 67 (4). 789 -794.

Grasso, Domenick Gabriel and Gaur, Rahul and Varshney, Umesh and Spremulli, Linda L (2007) Role of the insertion region in mammalian mitochondrial initiation factor 2 in translational initiation. In: FASEB Journal, 21 (5). 514.3.

Grover, Tarun and Senthil, T (2007) Quantum spin nematics, dimerization, and deconfined criticality in Quasi-1D spin-one magnets. In: Physical Review Letters, 98 (24).

Gudasi, Kalagouda and Vadavi, Ramesh and Shenoy, Rashmi and Patil, Siddappa and Nethaji, M (2007) Crystal structure of 3-acetylcoumarin-o-aminobenzoylhydrazone and synthesis, spectral and thermal studies of its transition metal complexes. In: Journal of Coordination Chemistry, 60 (14). pp. 1547-1556.

Gudasi, Kalagouda B and Patil, Manjula S and Vadavi, Ramesh S and Shenoy, Rashmi V and Patil, Siddappa A and Nethaji, M (2007) X-ray crystal structure of phenylglycine hydrazide: Synthesis and spectroscopic studies of its transition metal complexes. In: Spectrochimica Acta Part A: Molecular and Biomolecular Spectroscopy, 67 (1). pp. 172-177.

Gudla, Pradeep Kumar and Ganguli, Ranjan (2007) Error estimates for inconsistent load lumping approach in finite element solution of differential equations. In: Applied Mathematics and Computation, 194 (1). pp. 21-37.

Gulati, BR and Deepa, RA and Singh, BK and Rao, Durga C (2007) Diversity in Indian Equine Rotaviruses: Identification of Genotype G10,P6[1] and G1 Strains and a New VP7 Genotype (G16) Strain in Specimens from Diarrheic Foals in India. In: Journal of Clinical Microbiology, 45 (3). pp. 972-978.

Gumaste, KS and Rao, Nanjunda KS and Reddy, Venkatarama BV and Jagadish, KS (2007) Strength and elasticity of brick masonry prisms and wallettes under compression. In: Materials and Structures, 40 (2). pp. 241-253.

Gunasekaran, B and Manivannan, V and Saravanan, S and Muthusubramanian, S (2007) 4-(4-Chlorophenyl)-5-[2-methyl-1-(4- methylphenyl)-2-nitropropyl]-1,2,3- selenadiazole. In: Acta Crystallographica Section E Structure Reports Online, 63 (10). 4024-Sup 1.

Gunasekaran, B and Saravanan, S and Manivannan, V and Muthusubramanian, S and Nethaji, M (2007) 5-[2-Methyl-1-(4-methylphenyl)-2-nitropropyl]-4-phenyl-1,2,3-selenadiazole. In: Acta Crystallographica Section E: Structure Reports, 63 (10). o4167.

Gunawardene, Nihara R and Daniels, Dulip AE and Gunatilleke, IAUN and Gunatilleke, CVS and Karunakaran, PV and Nayak, Geetha K and Prasad, S and Puyravaud, P and Ramesh, BR and Subramanian, KA and Vasanthy, G (2007) A brief overview of the Western Ghats – Sri Lanka biodiversity hotspot. In: Current Science, 93 (11). pp. 1567-1572.

Gunda, Jagadish Babu and Singh, Anuj Pratap and Chhabra, Parampal Singh and Ganguli, Ranjan (2007) Free vibration analysis of rotating tapered blades using Fourier-$p$ superelement. In: Structural Engineering and Mechanics, 27 (2). pp. 243-257.

Gupta, GS and Naveen, K (2007) Quantification of Liquid Holdup in the Dropping Zone of a Blast Furnace- A Cold Model Study. In: Metallurgical and Materials Transactions B, 38 (2). pp. 203-213.

Gupta, Garima and Surolia, Avadhesha (2007) Collectins: sentinels of innate immunity. In: Bioessays, 29 (5). pp. 452-464.

Gupta, Dhritiman and Kabra, Dinesh and Kolishetti, Nagesh and Ramakrishnan, S and Narayan, KS (2007) An Efficient Bulk-Heterojunction Photovoltaic Cell Based on Energy Transfer in Graded-Bandgap Polymers. In: Advanced Functional Materials, 17 (2). pp. 226-232.

Gupta, Madhavi and Bagaria, Ashima and Mishra, Aseem and Mathur, Puniti and Basu, Atanu and Ramakumar, Suryanarayanarao and Chauhan, VS (2007) Self-assembly of a dipeptide-containing conformationally restricted dehydrophenylalanine residue to form ordered nanotubes. In: Advanced Materials, 19 (6). pp. 858-861.

Gupta, Pawan Kumar and Kuri, Joy (2007) TCP with header checksum option for wireless links: An analytical approach towards performance evaluation. In: Sadhana, 32 (3). pp. 253-275.

Gupta, Sarika and Chhibber, Manmohan and Sinha, Sharmistha and Surolia, Avadhesha (2007) Design of mechanism-based inhibitors of transthyretin amyloidosis: Studies with biphenyl ethers and new structural templates. In: JOURNAL OF MEDICINAL CHEMISTRY, 50 (23). pp. 5589-5599.

Gupta, Sarika and Chhibber, Manmohan and Sinha, Sharmistha and Surolia, Avadhesha (2007) Design of mechanism-based inhibitors of transthyretin amyloidosis:Studies with biphenyl ethers and new structural templates. In: Journal of Medicinal Chemistry, 50 (23). pp. 5589-5599.

Gupta, Sarika and Singh, Anchal and Yadav, Marshleen and Singh, Kalyan and Rathaur, Sushma (2007) MALDI mass sequencing and characterization of filarial glutathione-S-transferase. In: Biochemical and Biophysical Research Communications, 356 (2). pp. 381-385.

Gururaja, KV and Ali, Sameer and Ramachandra, TV (2007) Micrixalus fuscus (Anura: Ranidae) in Sharavathi River basin, Karnataka. In: Energy and Wetlands Research Group .

Gururaja, KV and Aravind, NA and Ali, S and Ramachandra, TV and Velavan, TP and Krishnakumar, V and Aggarwal, RK (2007) A New Frog Species from the Central Western Ghats of India,and Its Phylogenetic Position. In: Zoological Science, 24 (5). pp. 525-534.

Gururaja, KV and Dinesh, KP and Palot, MJ and Radhakrishnan, C and Ramachandra, TV (2007) A new species of Philautus Gistel (Amphibia: Anura: Rhacophoridae) from southern Western Ghats, India. In: Zootaxa, 1621 . pp. 1-16.

Gururajan, MP and Abinandanan, TA (2007) Phase inversion in two-phase solid systems driven by an elastic modulus mismatch. In: Philosophical Magazine, 87 (33). pp. 5279-5288.

Gururajana, MP and Abinandanan, TA (2007) Phase field study of precipitate rafting under a uniaxial stress. In: Acta Materialia, 55 (15). pp. 5015-5026.

Gyulassy, Attila and Natarajan, Vijay and Pascucci, Valerio and Hamann, Bernd (2007) Efficient Computation of Morse-Smale Complexes for Three- imensional Scalar Functions. In: IEEE Transactions on Visualization and Computer Graphics, 13 (6). pp. 1440-1447.

Gyulassy, Attila G and Duchaineau, Mark A and Natarajan, Vijay and Pascucci, Valerio and Bringa, Eduardo M and Higginbotham, Andrew and Hamann, Bernd (2007) Topologically Clean Distance Fields. In: IEEE Transactions on Visualization and Computer Graphics, 13 (6). pp. 1432-1439.

Halder, Aditi and Ravishankar, N (2007) Ultrafine Single-Crystalline Gold Nanowire Arrays by Oriented Attachment. In: Advanced Materials, 19 (14). pp. 1854-1858.

Halilbasic, E and Fickert, P and Fuchbichler, A and Langner, C and Gumhold, J and Silbert, D and Maitra, U and Zatloukal, K and Denk, H and Trauner, M (2007) Taurine-conjugation critically determines the therapeutic effectiveness of 24-nor-ursodeoxycholic acid (norUDCA) in the treatment of sclerosing cholangitis in Mdr2 (ABCB4) knockout mice. In: Journal of Hepatology, 46 . S51-S51.

Hansia,, Priti and Dev, Sagarika and Surolia, Avadhesha and Vishveshwara, Saraswathi (2007) Insight into the early stages of thermal unfolding of peanut agglutinin by molecular dynamics simulations. In: Proteins: Structure, Function, and Genetics, 69 (1). pp. 32-42.

Hariprakash, B and Gaffoor, SA (2007) Lead-acid cells with lightweight, corrosion-protected, flexible-graphite grids. In: Journal of Power Sources, 173 (1). pp. 565-569.

Harish, BP and Bhat, Navakanta and Patil, Mahesh B (2007) On a Generalized Framework for Modeling the Effects of Process Variations on Circuit Delay Performance Using Response Surface Methodology. In: IEEE Transactions on Computer - Aided Design of Integrated Circuits and Systems, 26 (3). pp. 606-614.

Hassan, SR and Krishnamurthy, HR (2007) Spectral properties in the charge-density-wave phase of the half-filled Falicov-Kimball model. In: Physical Review B, 76 (20). 205109-1-205109-11.

Hathwar, VR and Manivel, P and Khan, FN and Row, TNG (2007) 3-Butyl-1H-isochromen-1-one. In: Acta Crystallographica Section E Structure Reports Online, 63 (9). p. 3707.

Hathwar, Venkatesha R and Manivel, P and Khan, Nawaz F and Row, Guru TN (2007) 3-Butyl-1H-isochromene-1-thione. In: Acta Crystallographica Section E: Structure Reports, 63 (9). o3708.

Haveli, Shrutisagar Dattatraya and Sridhar, Perali Ramu and Suguna, Perumal and Chandrasekaran, Srinivasan (2007) Efficient Synthesis of Fused Perhydrofuro[2,3-b]pyrans (and Furans) by Ring Opening of 1,2-Cyclopropanated Sugar Derivatives. In: Organic Letters, 9 (7). 1331 - 1334.

Helmerson, K and Andersen, MF and Ryu, C and Clade, P and Natarajan, V and Vaziri, A and Philips, WD (2007) Generating persistent currents states of atoms using orbital angular momentum of photons. In: Nuclear Physics A, 790 (1-4). pp. 705-712.

Hemamala, ULC and El-Ghussein, F and Muthu, DVS and Andersen, Krogh AM and Carlson, S and Ouyang, L and Kruger, MB (2007) High-pressure Raman and infrared study of $ZrV_2O_7$. In: Solid State Communications, 141 (12). 680–684.

Hembram, KPSS and Dutta, Gargi and Waghmare, Umesh V and Raoa, G Mohan (2007) Electrical and structural properties of zirconia thin films prepared by reactive magnetron sputtering. In: Physica B: Condensed Matter, 399 (1). pp. 21-26.

Hembram, KPSS and Rao, Mohan G (2007) Properties of zirconia thin films prepared by reactive magnetron sputtering. In: Materials Letters, 61 (2). pp. 502-505.

Hiremath, RB and Shikha, S and Ravindranath, NH (2007) Decentralized energy planning; modeling and application—a review. In: Renewable and Sustainable Energy Reviews, 11 (5). pp. 729-752.

Hosur, Mahesh V and Jain, Kunal and Chowdhury, Farhan and Jeelani, Shaik and Bhat, MR and Murthy, CRL (2007) Low-velocity impact response of carbon/epoxy laminates subjected to cold–dry and cold–moist conditioning. In: Composite Structures, 79 (2). pp. 300-311.

Hota, Ananda and Saikia, DJ (2007) An HI study of three long-tailed irregular galaxies in the cluster Abell 1367. In: Bulletin of the Astronomical Society of India, 35 (2). pp. 121-140.

Hota, Ananda and Saikia, DJ and Irwin, Judith A (2007) NGC 4438 and its environment at radio wavelengths. In: Monthly Notices of the Royal Astronomical Society, 380 (3). pp. 1009-1022.

Hu, N and Wang, HH and Yan, B and Fukunaga, H and Mahapatra, Roy D and Gopalakrishnan, S (2007) The partition of unity finite element method for elastic wave propagation in Reissner-Mindlin plates. In: International Journal for Numerical Methods in Engineering, 70 (12). pp. 1451-1479.

Hu, N and Fukunaga, H and Kameyama, M and Mahapatra, Roy D and Gopalakrishnan, S (2007) Analysis of Wave Propagation in Beams With Transverse and Lateral Cracks Using a Weakly Formulated Spectral Method. In: Journal of Applied Mechanics, 74 (1). pp. 119-127.

Isvaran, Kavita (2007) Intraspecific variation in group size in the blackbuck antelope: the roles of habitat structure and forage at different spatial scales. In: Oecologia, 154 (2). pp. 435-444.

Iyengar, RN and Radhakrishna, BP (2007) Geographical location of Vedic Irina in Southern Rajasthan. In: Journal of the Geological Society of India, 70 (5). pp. 699-705.

Iyengar, SS and Wu, Hsiao-Chun and Balakrishnan, N and Chang, Shih Yu (2007) Biologically Inspired Cooperative Routing for Wireless Mobile Sensor Networks. In: Systems Journal, IEEE, 1 (1). pp. 29-37.

Iyer, Srikanth K (2007) Branching Particle Systems and Superprocess. In: Journal of the Indian Institute of Science, 87 (4).

Jacob, KT and Saji, VS and Waseda, Y (2007) Standard Gibbs energy of formation of $Pb_2Ru_2O_{6.5}$. In: Journal of Materials Research, 22 (5). pp. 1174-1181.

Jacob, KT and Shekhar, Chander and Waseda, Y (2007) Metal-spinel-corundum three phase equilibria in the system Ni-Cr-Al-O at 1373 K. In: High Temperature Materials and Processes, 26 (1). pp. 17-32.

Jacob, KT and Subramanian, R (2007) Thermodynamic assessment of phase relations in the system $PbO-RuO_2-TiO_2$. In: Journal of Materials Science, 42 (7). pp. 2521-2523.

Jacob, KT and Raj, Shubhra and Rannesh, L (2007) Vegard's law: a fundamental relation or an approximation? In: International Journal of Materials Research, 2007 (9). pp. 776-779.

Jacob, KT and Rannesh, L (2007) Thermodynamic activities in the Pb(Zr1−XTiX)O3 solid solution at 1373K. In: Materials Science and Engineering: B, 140 (1-2). pp. 53-58.

Jacob, KT and Rannesh, L. (2007) Thermodynamic activities in the Pb(Zr1-XTiX)O-3 solid solution at 1373 K. In: Materials Science and Engineering B, 140 (1-2). pp. 53-58.

Jacob, KT and Saji, VS and Gopalakrishnan, J and Waseda, Y (2007) Thermodynamic evidence for phase transition in $MoO_{2-\delta}$. In: The Journal of Chemical Thermodynamics, 39 (12). pp. 1539-1545.

Jacob, KT and Saji, VS and Reddy, SNS (2007) Thermodynamic evidence for order-disorder transition in $NiTiO_3$. In: Journal of Chemical Thermodynamics, 39 (2). pp. 230-235.

Jacob, KT and Saji, VS and Waseda, Y (2007) Standard Gibbs energy of formation of Pb2Ru2O6.5. In: Journal of Materials Research, 22 (5).

Jacob, KT and Singh, Shwetank and Waseda, Y (2007) Refinement of thermodynamic data on GaN. In: Journal of Material Research, 22 (12). pp. 3475-3483.

Jagannath, Swaminathan and Sabareesh, Varatharajan (2007) Peptide Fragment Ion Analyser (PFIA): a simple and versatile tool for the interpretation of tandem mass spectrometric data and de novo sequencing of peptides. In: Rapid Communications in Mass Spectrometry, 21 (18). pp. 3033-3038.

Jain, Chetana and Paul, Biswajit and Joshi, Kaustubh and Dutta, Anjan and Raichur, Harsha (2007) Search for Orbital Motion of the Pulsar 4U 1626-67: Candidate for a Neutron Star with a Supernova Fall-back Accretion Disk. In: Journal Astrophysics and Astronomy., 28 . pp. 178-184.

Jain, Himanshu and Raychaudhuri, AK and Ghosh, Nilotpal and Bhat, HL (2007) Colossal electroresistance in the ferromagnetic insulating state of single crystal $Nd_{0.7}Pb_{0.3}MnO_3$. In: Physical Review B, 76 . 104408:1-7.

Jain, Jayesh and Kumar, Arvind and Dutta, Pradip (2007) Numerical studies on channel formation and growth during solidification: Effect of process parameters. In: Journal of Heat Transfer, 129 (4). pp. 548-558.

Jain, Ruchi and Kumar, Pradeep and Varshney, Umesh (2007) A distinct role of formamidopyrimidine DNA glycosylase (MutM) in down-regulation of accumulation of G, C mutations and protection against oxidative stress in mycobacteria. In: DNA Repair, 6 (12). pp. 1774-1785.

Jain, Vikas and Saleem-Batcha, Raspudin and Chatterji, Dipankar (2007) Synthesis and hydrolysis of pppGpp in mycobacteria: A ligand mediated conformational switch in Rel. In: Biophysical Chemistry, 127 (1-2). pp. 41-50.

Jaisankar, S and Rao, Raghurama SV (2007) Diffusion regulation for Euler solvers. In: Journal of Computational Physics, 221 (2). pp. 577-599.

Jaiswal, Manu and Wang, Wei and Fernando, KA Shiral and Sun, Ya-Ping and Menon, Reghu (2007) Magnetotransport in transparent single-wall carbon nanotube networks. In: Physical Review B, 76 (11). 113401:1-4.

Jaiswal, Manu and Wang, Wei and Fernando, Shiral KA and Sun, Ya-Ping and Menon, Reghu (2007) Charge transport in transparent single-wall carbon nanotube networks. In: Journal of Physics: Condensed Matter, 19 (44). 446006-1-446006-8.

Jali, VM and Angadi, Basavaraj and Venkateswarlu, P and Kumar, Ravi and Krupanidhi, SB (2007) High energy oxygen ion induced modifications in lead based perovskite thin films. In: Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, 260 (2). pp. 553-562.

Jali, VM and Aparna, S and Sanjeev, Ganesh and Krupanidhi, SB (2007) ac conductivity studies on the electron irradiated $BaZrO_3$ ceramic. In: Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, 257 (1-2). pp. 505-509.

Jana, Biman and Bagchi, Biman (2007) Orientational dynamics and energy landscape features of thermotropic liquid crystals: An analogy with supercooled liquids. In: Journal of Chemical Sciences, 119 (5). pp. 343-350.

Jayanthi, S and Kumari, M (2007) Effect of variable viscosity on non-Darcy free or mixed convection flow on a vertical surface in a non-Newtonian fluid saturated porous medium. In: Applied Mathematics and Computation, 182 (2). pp. 1643-1659.

Jayanthi, S and Kutty, TRN (2007) Microwave dielectric properties of $Mg_4Al_2Ti_9O_2_5$ ceramics. In: Materials Letters, 62 (3). pp. 556-560.

Jayanthi, S and Madhu, PK and Kurur, Narayanan D and Ramanathan, KV (2007) Heteronuclear polarization transfer in static oriented systems using a windowless multiple-pulse sequence. In: Chemical Physics Letters, 439 (4-6). pp. 407-411.

Jayaraman, Narayanaswamy and Singh, Madan Kumar and Rao, Shankar DS and Prasad, SK (2007) Studies of the mesomorphic behavior of bivalent carbohydrate amphiphiles. In: Journal of Materials Chemistry, 17 (21). pp. 2228-2232.

Jemmis, Eluvathingal D and Parameswaran, Pattiyil (2007) Structure and Bonding in Cyclic Isomers of $BAl_2H_n ^ m$ (n=3–6, m= -2 to +1):Preference for Planar Tetracoordination, Pyramidal Tricoordination, and Divalency. In: Chemistry - A European Journal, 13 (9). pp. 2622-2631.

Jeon, Tae- In and Son, Joo-Hiuk and Menon, Reghu (2007) Probing the aging effect in metallic polypyrrole by terahertz time-domain spectroscopy. In: Journal of Applied Physics, 101 (9).

Jethva, Hiren and Satheesh, SK and Srinivasan, J (2007) Evaluation of Moderate-Resolution Imaging Spectroradiometer (MODIS) collection 004 (C004) aerosol retrievals at Kanpur, Indo-Gangetic basin. In: Journal of Geophysihttp://eprints.iisc.ernet.in/cgi/users/home?screen=EPrint::Edit&eprintid=26213&stage=core#tcal Research, 112 (D14216). p. 9.

Jethva, Hiren and Satheesh, SK and Srinivasan, J (2007) Assessment of second-generation MODIS aerosol retrieval (Collection 005) at Kanpur, India. In: Geophysical Research Letters, 34 (L19802). pp. 1-5.

Jha, Anupam Nath and Ananthasuresh, GK and Vishveshwara, Saraswathi (2007) Protein sequence design based on the topology of the native state structure. In: Journal of Theoretical Biology, 248 (1). pp. 81-90.

Jiang, Jie and Chatterjee, Piyali and Choudhuri, Arnab Rai (2007) Solar activity forecast with a dynamo model. In: Monthly Notices of the Royal Astronomical Society, 381 (4). pp. 1527-1542.

Jiang, Jie and Choudhuri, Arnab Rai and Wang, Jingxiu (2007) A New Explanation for the Origin of Trans-equatorial Loops based on a Dynamo Model. In: Solar Physics, 245 (1). pp. 19-25.

Jin, HW and Ayer, R and Koo, JY (2007) Reciprocating wear mechanisms in a Zr-based bulk metallic glass. In: Journal of Materials Research, 22 (2). pp. 264-273.

Jog, Chanda J and Maybhate, Aparna (2007) Lopsidedness and sloshing in centres of advanced mergers of galaxies. In: Proceedings of the International Astronomical Union, 3 . pp. 79-80.

Jorly, Joseph and Jemmis, Eluvathingal D (2007) Red-, blue-, or no-shift in hydrogen bonds: A unified explanation. In: Journal of the American Chemical Society, 129 (15). pp. 4620-4632.

Joshi, Dhananjay and Mahadevan, Pradeep and Marathe, Amol and Chatterjee, Anindya (2007) Unimportance of geometric nonlinearity in analysis of flanged joints with metal-to-metal contact. In: International Journal Of Pressure Vessels And Piping, 84 (7). pp. 405-411.

Jyothi, TC and Sinha, Sharmistha and Singh, Sridevi A and Surolia, A and Rao, Appu AG (2007) Napin from Brassica juncea: Thermodynamic and structural analysis of stability. In: Biochimica et Biophysica Acta (BBA) - Proteins & Proteomics, 1774 (7). pp. 907-919.

Jyothishwaran, G and Kotresha, D and Selvaraj, T and Srideshikan, SH and Rajvanshi, PK and Jayabaskaran, C (2007) A modified freeze–thaw method for efficient transformation of Agrobacterium tumefaciens. In: Current Science, 93 (6). pp. 770-772.

Kabra, Dinesh and Narayan, KS (2007) Direct estimate of transport length scales in semiconducting polymers. In: Advanced Materials, 19 (11). 1465 -1470.

Kachroo, Aashiq H and Kancherla, Aswani K and Singh, Nongmaithem S and Varshney, Umesh and Mahadevan, Subramony (2007) Mutations that alter the regulation of the chb operon of Escherichia coli allow utilization of cellobiose. In: Molecular Microbiology, 66 (6). pp. 1382-1395.

Kamal, A and Ahmed, SK and Reddy, KS and Khan, MNA and Shetty, RVCRNC and Siddhardha, B and Murty, USN and China, A and Nagaraja, V (2007) Synthesis and Biological Evaluation of a New Series of Benzothiazole-Benzothiadiazine Conjugates as Antibacterial Agents. In: Letters in Drug Design & Discovery, 4 (8). pp. 550-556.

Kamaraju, N and Kumar, Sunil and Sood, AK and Guha, Shekhar and Krishnamurthy, Srinivasan and Rao, CNR (2007) Large nonlinear absorption and refraction coefficients of carbon nanotubes estimated from femtosecond z-scan measurements. In: Applied Physics Letters, 91 (25). 251103-1-251103-3.

Kamble, Atish and Resmi, L and Misra, Kuntal (2007) Observations of the Optical Afterglow of GRB 050319: The Wind-to-ISM Transition in View. In: The Astrophysical Journal Letters, 664 . L5-L8.

Kameshwaran, S and Narahari, Y and Rosa, Charles H and Kulkarni, Devadatta M and Tew, Jeffrey D (2007) Multiattribute electronic procurement using goal programming. In: European Journal of Operational Research, 179 (2). pp. 518-536.

Kanade, Santosh R and Suhas, VL and Chandra, Nagasurna and Gowda, Lalitha R (2007) Functional interaction of diphenols with polyphenol oxidase - Molecular determinants of substrate/inhibitor specificity SO FEBS JOURNAL. In: FEBS Journal, 274 (16). pp. 4177-4187.

Kanaujia, Shankar Prasad and Ranjani, Chellamuthu Vasuki and Jeyakanthan, Jeyaraman and Baba, Seiki and Chen, Lirong and Liu, Zhi-Jie and Wang, Bi-Cheng and Nishida, Masami and Ebihara, Akio and Shinkai, Akeo and Kuramitsu, Seiki and Shiro, Yoshitsugu and Sekar, Kanagaraj and Yokoyama, Shigeyuki (2007) Crystallization and preliminary crystallographic analysis of molybdenum-cofactor biosynthesis protein C from Thermus thermophilus. In: Acta Crystallographica Section F: Structural Biology and Crystallization Communications, 63 (Part 1). pp. 27-29.

Kanaujia, Shankar Prasad and Ranjani, Chellamuthu Vasuki and Jeyakanthan, Jeyaraman and Baba, Seiki and Kuroishi, Chizu and Ebihara, Akio and Shinkai, Akeo and Kuramitsu, Seiki and Shiro, Yoshitsugu and Sekar, Kanagaraj and Yokoyama, Shigeyuki (2007) Cloning, expression, purification, crystallization and preliminary X-ray crystallographic study of DHNA synthetase from Geobacillus kaustophilus. In: Acta Crystallographica Section F: Structural Biology and Crystallization Communication, 63 (2). pp. 103-105.

Kanaujia, Shankar Prasad and Ranjani, Chellamuthu Vasuki and Jeyakanthan, Jeyaraman and Nishida, Masami and Kitamura, Yoshiaki and Baba, Seiki and Ebihara, Akio and Shimizu, Nobutaka and Nakagawa, Noriko and Shinkai, Akeo and Yamamoto, Masaki and Kuramitsu, Seiki and Shiro, Yoshitsugu and Sekar, Kanagaraj and Yokoyama, Shigeyuki (2007) Preliminary X-ray crystallographic study of glucose dehydrogenase from Thermus thermophilus HB8. In: Acta Crystallographica Section F: Structural Biology and Crystallization Communications, 63 (5). pp. 446-448.

Kanaujia, Shankar Prasad and Ranjani, Chellamuthu Vasuki and Jeyakanthan, Jeyaraman and Ohmori, Miwa and Agari, Kazuko and Kitamura, Yoshiaki and Baba, Seiki and Ebihara, Akio and Shinkai, Akeo and Kuramitsu, Seiki and Shiro, Yoshitsugu and Sekar, Kanagaraj and Yokoyama, Shigeyuki (2007) Cloning, expression, purification, crystallization and preliminary X-ray crystallographic study of molybdopterin synthase from Thermus thermophilus HB8. In: Acta Crystallographica Section F-Structural Biology and Crystallization Communications, 63 (4). pp. 324-326.

Kanchan, RS and Tekwani, PN and Baiju, MR and Gopakumar, K (2007) A Four-level Inverter Scheme with Reduced Common Mode Voltage for an Induction Motor Drive. In: EPE Journal, 15 (3). pp. 49-59.

Kanchan, RS and Gopakumar, K and Kennel, R (2007) Synchronised carrier-based SVPWM signal generation scheme for the entire modulation range extending up to six-step mode using the sampled amplitudes of reference phase voltages. In: IET Electric Power Applications, 1 (3). pp. 407-415.

Kanmani, B and Vasu, RM (2007) Noise-tolerance analysis for detection and reconstruction of absorbing inhomogeneities with diffuse optical tomography using single- and phase-correlated dual-source schemes. In: Physics in Medicine and Biology, 52 . pp. 1409-1429.

Kanth, Raghu STG and Iyengar, RN (2007) Estimation of seismic spectral acceleration in Peninsular India. In: Journal of Earth System Science, 116 (3). pp. 199-214.

Kar, S and Sarkar, J and Ghosh, B and Raychaudhuri, AK (2007) Effect of grain boundaries on the local electronic transport in nanostructured films of colossal magnetoresistive manganites. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 2051-2054.

Kar, Sohini and Raychaudhuri, AK (2007) Localized reversible nanoscale phase separation in Pr0.63Ca0.37MnO3 single crystal using a scanning tunneling microscope tip. In: Applied Physics Letters, 91 (14).

Karmakar, Subhankar and Mujumdar, PP (2007) A two-phase grey fuzzy optimization approach for water quality management of a river system. In: Advances in Water Resources, 30 (5). pp. 1218-1235.

Karmarkar, Ajay and Chauhan, SS and Modak, Jayant M and Chanda, Manas (2007) Mechanical properties of wood–fiber reinforced polypropylene composites: Effect of a novel compatibilizer with isocyanate functional group. In: Composites Part A : Applied Science and Manufacturing, 38 (2). pp. 227-233.

Karnal, AK and Bhaumik, Indranil and Ganesamoorthy, S and Bhatt, R and Saxena, A and Wadhawan, VK and Bhat, HL (2007) Growth and calorimetric measurements on $CsLiB_6O_{10}$. In: Materials Letters, 61 (2). pp. 600-604.

Karnik, Aditya and Kumar, Anurag (2007) Distributed Optimal Self-Organization in Ad Hoc Wireless Sensor Networks. In: IEEE/ACM Transactions on Networking, 15 (5). pp. 1035-1045.

Karthick, B and Ramachandra, TV (2007) Biomonitoring of Lotic habitats through Diatoms. In: Energy & Wetlands Research Group .

Karthick, B and Ramachandra, TV (2007) Spatial Variation of Physico-Chemical and hydrological Parameters with land-use in Venkatapura Catchment,Karnataka. In: Asian Jr . of Microbiol. Biotech. Env. Sc. , 9 (4). pp. 1001-1005.

Karthik, C and Varma, KBR (2007) Thermal, Structural, Optical, and Dielectric Properties of $(100-x)Li_2B_4O_7 - x(BaO-Bi_2O_3-Nb_2O_5)$ Glasses and Glass-Nanocrystal Composites. In: Journal of Nanoscience and Nanotechnology, 7 (3). pp. 1006-1013.

Karthik, C and Varma, KBR (2007) Dielectric and pyroelectric anisotropy in the melt-quenched barium bismuth niobate ceramics. In: Journal of Electroceramics, 18 (3-4). pp. 347-353.

Karthik, C and Varma, KBR and Maglione, Mario and Etourneau, J (2007) Relaxor characteristics of layered Ba1-(3/2)xLaxBi2Nb2O9 ceramics. In: Journal of Applied Physics, 101 (1). 014106 -014106.

Karthik, Raman and Rajagopalan, Preethi and Chandra, Nagasuma (2007) Hallmarks of mycolic acid biosynthesis: A comparative genomics study. In: Proteins: Structure, Function, and Bioinformatics, 69 (2). pp. 358-368.

Karthikeyan, CS and Sankaran, S and Kishore, * (2007) Investigation of bending modulus of fiber-reinforced syntactic foams for sandwich and structural applications. In: Polymers for Advanced Technologies, 18 (3). pp. 254-256.

Karthikeyan, S and Kolluri, M and Ramamurty, U (2007) Effect of Lateral Constraint on the Mechanical Properties of a Closed-Cell Al Foam: Part II. Strain-Hardening Models. In: Metallurgical and Materials Transactions A, 38 (9). pp. 2014-2023.

Karthikeyan, B and Anija, M and Venkatesan, P and Sandeep, Suchand CS and Philip, Reji (2007) Ultrafast optical power limiting in free-standing Pt–polyvinyl alcohol nanocomposite films synthesized in situ. In: Optics Communications, 280 (2). pp. 482-486.

Kasabegoudar, Veeresh G and Upadhyay, Dibyant S and Vinoy, KJ (2007) Design Studies of Ultra-Wideband Microstrip Antennas with a Small Capacitive Feed. In: International Journal of Antennas and Propagation, 2007 .

Kathiravan, R and Ganguli, Ranjan (2007) Strength design of composite beam using gradient and particle swarm optimization. In: Composite Structures, 81 (4). pp. 471-479.

Kaul, Ribhu K and Kolezhuk, Alexei and Levin, Michael and Sachdev, Subir and Senthil, T (2007) Hole dynamics in an antiferromagnet across a deconfined quantum critical point. In: Physical Review B, 75 (23). 235122-1 -235122-17.

Kavitha, Y and Thomas, S and Damodaran, A and Ramakrishna, L and Ranga, U and Manjunath, R (2007) Replication of Japanese encephalitis virus in mouse brain induces alterations in lymphocyte response. In: Acta Virologica, 51 (3). pp. 179-187.

Kavitha, R and Jayaram, V (2007) Band-Gap Engineering in ZnO-MgO Films Prepared by Combustion Flame Pyrolysis of Solution Precursors. In: Journal of Electronic Materials, 36 (10). pp. 1326-1332.

Kavitha, R and Jayaram, V (2007) Deposition and characterization of alumina films produced by combustion flame pyrolysis. In: Surface and Coatings Technology, 201 (6). pp. 2491-2499.

Kavitha, R and Meghani, S and Jayaram, V (2007) Synthesis of titania films by combustion flame spray pyrolysis technique and its characterization for photocatalysis. In: Materials Science and Engineering:B, 139 (2-3). pp. 134-140.

Kavitha, Telikepalli (2007) Linear time algorithms for Abelian group isomorphism and related problems. In: Journal of Computer and System Sciences, 73 (6). pp. 986-996.

Kavitha, Telikepalli and Mehlhorn, Kurt (2007) Algorithms to Compute Minimum Cycle Basis in Directed Graphs. In: Theory of Computing Systems, 40 (4). pp. 485-505.

Keerthi, Sathiya S and Shevade, Shirish (2007) A Fast Tracking Algorithm for Generalized LARS/LASSO. In: IEEE Transactions on Neural Networks, 18 (6). pp. 1826-1830.

Khan, Manas and Sood, AK and Deepak, FL and Rao, CNR (2007) Optically driven nanorotors: Experiments and model calculations. In: Journal of Nanoscience and Nanotechnolgy, 7 (6). pp. 1800-1803.

Khan, Mohammad Emtiyaz and Dutt, Deshpande Narayana (2007) An Expectation-Maximization Algorithm Based Kalman Smoother Approach for Event-Related Desynchronization (ERD)Estimation from EEG. In: IEEE Transactions on Biomedical Engineering, 54 (7). pp. 1191-1198.

Khatri, Om P and Biswas, Sanjay K (2007) Friction of Octadecyltrichlorosilane Monolayer Self-Assembled on Silicon Wafer in 0% Relative Humidity. In: Journal of Physical Chemistry C, 111 (6). pp. 2696-2701.

Khatri, Om P and Math, Souvik and Bain, Colin D and Biswas, Sanjay K (2007) Frictional Response of a Silane Monolayer to Sliding in a Humid Environment. In: Journal of Physical Chemistry C, 111 (44). pp. 16339-16344.

Khetrapal, CL (2007) Liquid Crystals: Mixed Magnetic Susceptibility Solvents. In: eMagRes, 2007 .

Khodade, Prashant and Malhotra, Samta and Kumar, Nirmal and Iyengar, Sriram M and Balakrishnan, N and Chandra, Nagasuma (2007) Cytoview: Development of a cell modelling framework. In: Journal of Biosciences, 32 (5). pp. 965-977.

Khodade, Prashant and Prabhu, A and Chandra, Nagasuma and Raha, Soumyendu and Govindarajan, R (2007) Parallel implementation of AutoDock. In: Journal of Applied Crystallography, 40 (3). pp. 598-599.

Khosravinia, H and Ramesha, KP (2007) Influence of EDTA and magnesium on DNA extraction from blood samples and specificity of polymerase chain reaction. In: African Journal of Biotechnology, 6 (3). pp. 184-187.

Kima, Hong Jin and Karthikeyan, S and David, Rigneya (2007) The structure and composition of aluminum wear debris generated by unlubricated sliding in different environments. In: Wear, 263 (1-6). pp. 849-857.

Kishen, Chandra JM and Rao, Subba P (2007) Fracture of cold jointed concrete interfaces. In: Engineering Fracture Mechanics, 74 (1-2). pp. 122-131.

Kobayashi, M and Tanaka, K and Fujimori, A and Ray, Sugata and Sarma, DD (2007) Critical test for Altshuler-Aronov theory: Evolution of the density of states singularity in double perovskite Sr2FeMoO6 with controlled disorder. In: Physical Review Letters, 98 (24).

Kolishetti, Nagesh and Ramakrishnan, S (2007) Effect of surfactants on the fluorescence spectra of water-soluble MEHPPV derivatives having grafted polyelectrolyte chains. In: Proceedings of the Indian Academy of Sciences - Chemical Sciences, 119 (2). pp. 185-193.

Kolluri, M and Karthikeyan, S and Ramamurty, U (2007) Effect of Lateral Constraint on the Mechanical Properties of a Closed-Cell Al Foam: I. Experiments. In: Metallurgical and Materials Transactions A, 38 (9). pp. 2006-2013.

Konde, Kakasaheb S and Modak, Jayant M (2007) Optimization of Bioreactor Using Metabolic Control Analysis Approach. In: Biotechnology Progress, 23 (2). pp. 370-380.

Konkimalla, Badireenath V and Laxminarayana Suhas, Venkatramana and Chandra, Nagasuma R and Gebhart, Erich and Efferth, Thomas (2007) Diagnosis and therapy of oral squamous cell carcinoma. In: Expert Review of Anticancer Therapy, 7 (3). pp. 317-329.

Kottada, RS and Chokshi, AH (2007) Grain boundary sliding during diffusion and dislocation creep in a Mg-0.7 pct Al alloy. In: Metallurgical and Materials Transactions A, 38A (8). pp. 1743-1749.

Krishan, S (2007) Electron beam instabilities in unmagnetized plasmas via the Stieltjes transform (linear theory and nonlinear mode coupling). In: Physics of Plasma, 14 (11). 112105-1-112105-17.

Krishna, Heera and Krishnamurthy, Setharampattu S (2007) From Infinite Tubular Arrays to Discrete Molecules and Back: An Example of Reversible Ring-opening Polymerization in Silver(I)–diphosphazane Chemistry. In: Inorganica Chimica Acta, 360 (10). pp. 3462-3466.

Krishna, Heera and Krishnamurthy, Setharampattu S and Nethaji, Munirathinam and Murugavel, Ramaswamy and Prabusankar, Ganesan (2007) Unusual reactivity of a sterically hindered diphosphazane ligand, $EtN\{P(OR)_2\}_2$, $(R = C_6H_3(Pr^i)_2-2,6)$ towards $(\eta^3$-allyl)palladium precursors. In: Dalton Transactions (27). pp. 2908-2914.

Krishna, Murali A and Latha, Madhavi G (2007) Seismic response of wrap-faced reinforced soil-retaining wall models using shaking table tests. In: Geosynthetics International, 14 (6). pp. 355-364.

Krishna, R and Prabu, Rajan J and Manjunath, GP and Datta, S and Chandra, NR and Muniyappa, K and Vijayan, M (2007) Snapshots of RecA Protein Involving Movement of the C-domain and Different Conformations of the DNA-binding Loops: Crystallographic and Comparative Analysis of 11 Structures of Mycobacterium smegmatis RecA. In: Journal of Molecular Biology, 367 (4). pp. 1130-1144.

Krishnan, Murali K and Shankar, Priti (2007) Computing the Stopping Distance of a Tanner Graph is NP-hard. In: IEEE Transactions on Information Theory, 53 (6). pp. 2278-2280.

Krishnan, Riki and Balaram, P (2007) Current Science: Some early history. In: Current Science, 92 (1). pp. 129-138.

Krishnaswamy, Rema and Majumdar, Sayantan and Ganapathy, Rajesh and Agarwal, Ved Varun and Sood, AK and Rao, CNR (2007) Interfacial Rheology of an Ultrathin Nanocrystalline Film Formed at the Liquid/Liquid Interface. In: Langmuir, 23 (6). pp. 3084-3087.

Krishnaswamy, Rema and Majumdar, Sayantan and Sood, AK (2007) Nonlinear Viscoelasticity of Sorbitan Tristearate Monolayers at Liquid/Gas Interface. In: Langmuir, 23 (26). pp. 12951-12958.

Kubair, DV and Chandar, Bhanu B (2007) Mode-3 spontaneous crack propagation along functionally graded bimaterial interfaces. In: Journal of the Mechanics and Physics of Solids, 55 (6). pp. 1145-1165.

Kubair, DV and Spearing, SM (2007) Cohesive zone model for direct silicon wafer bonding. In: Journal of Physics D-Applied Physics, 40 (10). pp. 3070-3076.

Kulkarni, Kiran A and Katiyar, Samiksha and Surolia, Avadhesha and Vijayan, Mamannamana and Suguna, Kaza (2007) Generation of blood group specificity: New insights from structural studies on the complexes of A- and B-reactive saccharides with basic winged bean agglutinin. In: Proteins: Structure, Function, and Bioinformatics, 68 (3). pp. 762-769.

Kulkarni, MG and Pal, S and Kubair, DV (2007) Mode-3 spontaneous crack propagation in unsymmetric functionally graded materials. In: International Journal of Solids and Structures, 44 (1). pp. 229-241.

Kumar, Arvind and Dutta, Pradip and Sundarraj, Suresh and Walker, Mike J (2007) Remelting of Solid and its Effect on Macrosegregation During Solidification. In: Numerical Heat Transfer, Part A: Applications, 51 (1). pp. 59-83.

Kumar, Brijesh and Pradeep, S (2007) Proposal to Use Reaction Jets for Variable Stability Airplanes. In: Journal of Aircraft, 44 (6). pp. 2060-2063.

Kumar, Sudarshan and Paul, PJ and Mukunda, HS (2007) Prediction of flame liftoff height of diffusion/partially premixed jet flames and modeling of mild combustion burners. In: Combustion Science and Technology, 179 (10). pp. 2219-2253.

Kumar, Vaijayanti A and Ganesh, KN (2007) Structure-Editing of Nucleic Acids for Selective Targeting of RNA. In: Current Topics in Medicinal Chemistry, 17 (7). pp. 715-726.

Kumar, A (2007) Kumar, Anil: Development of Two-Dimensional NMR�My Perception. In: eMagRes, 2007 .

Kumar, A (2007) Two-Dimensional NMR of Molecules Oriented in Liquid Crystalline Phases. In: eMagRes, 2007 .

Kumar, Anujith and Nandi, Dipankar (2007) Characterization and role of Peptidase N from Salmonella enterica serovar Typhimurium. In: Biochemical and Biophysical Research Communications, 353 (3). pp. 706-712.

Kumar, Anurag and Altman, Eitan and Miorandi, Daniele and Goyal, Munish (2007) New insights from a fixed-point analysis of single cell IEEE 802.11 WLANs. In: IEEE/ACM Transactions on Networking, 15 (3). pp. 588-601.

Kumar, Anurag and Manjunath, D (2007) A tutorial survey of topics in wireless networking: Part I. In: Sadhana, 32 (6). pp. 619-643.

Kumar, Anurag and Manjunath, D (2007) A tutorial survey of topics in wireless networking: Part II. In: Sadhana, 32 (6). pp. 645-681.

Kumar, Arun and Basavaraj, Manjunath G and Gupta, Santosh K and Qamar, Imteyaz and Ali, Abdullah Mahmood and Bajaj, Vineeta and Ramesh, TK and Prakash, Ravi D and Shetty, Jyoti S and Dorairaj, Syril K (2007) Role of CYP1B1, MYOC, OPTN and OPTC genes in adult-onset primary open-angle glaucoma: predominance of CYP1B1 mutations in Indian patients. In: Molecular Vision, 13 (73). pp. 667-676.

Kumar, Arun and Bhattacharjee, Soma and Ravi Prakash, Durgappa and Sadanand, Chethan Sitarampur (2007) Genetic analysis of two Indian families affected with congenital hereditary endothelial dystrophy: two novel mutations in SLC4A11. In: Molecular Vision, 13 . pp. 39-46.

Kumar, Arun and Dorairaj, Syril Kumar and Prabhakaran, Venkatesh C and Prakash, Ravi D and Chakraborty, Sanjukta (2007) Identification of genes associated with tumorigenesis of meibomian cell carcinoma by microarray analysis. In: Genomics, 90 (5). pp. 559-566.

Kumar, C Senthil and Raj, G Dhinakar and Thangavelu, A and Shaila, MS (2007) Performance of RT-PCR-ELISA for the detection of peste des petits ruminants virus. In: Small Ruminant Research, 72 (2-3). pp. 200-208.

Kumar, Dinesh M (2007) Groundwater Management in India:Physical, Institutional and Policy Alternatives. In: SAGE Publications India Pvt Ltd .

Kumar, Gyanendra and Parasuraman, Prasanna and Sharma, Shailendra Kumar and Banerjee, Tanushree and Karmodiya, Krishanpal and Surolia, Namita and Surolia, Avadhesha (2007) Discovery of a Rhodanine Class of Compounds as Inhibitors of Plasmodium falciparum Enoyl-Acyl Carrier Protein Reductase. In: Journal Of Medical Chemisty, 50 (11). pp. 2665-2675.

Kumar, Jyant and Clayton, CRI (2007) Effect of sample torsional stiffness on resonant column test results. In: Candian Geotechnical Journal, 44 (2). pp. 221-230.

Kumar, Jyant and Kouzer, KM (2007) Effect of Footing Roughness on Bearing Capacity Factor $N_ \gamma$. In: Journal of Geotechnical and Geoenvironmental Engineering, 133 (5). pp. 502-511.

Kumar, Krishna S and Sreenivas, Thippur (2007) Increased watermark-to-host correlation of uniform random phase watermarks in audio signals. In: Signal Processing, 87 (1). pp. 61-67.

Kumar, Manoranjan and Ramasesha, S and Sen, Diptiman and Soos, ZG (2007) Scaling exponents in spin-$^{(1/2)}$ Heisenberg chains with dimerization and frustration studied with the density-matrix renormalization group. In: Physical Review B, 75 (5). 052404:1-4.

Kumar, Mohah N and Rao, AP and Ravindra, GV (2007) Arithmetically Cohen-Macaulay bundles on three dimensional hypersurfaces. In: International Mathematics Research Notices, 2007 .

Kumar, NM and Rao, AP and Ravindra, GV (2007) Generators for vector bundles on generic hypersurfaces. In: Mathematical Research Letters, 14 (4). pp. 649-655.

Kumar, Nagesh D and Reddy, Janga M (2007) Multipurpose Reservoir Operation Using Particle Swarm Optimization. In: Journal of Water Resources Planning and Management, 133 (3). pp. 192-201.

Kumar, Parimal and Chhibber, Manmohan and Surolia, Avadhesha (2007) How pantothenol intervenes in Coenzyme-A biosynthesis of Mycobacterium tuberculosis. In: Biochemical and Biophysical Research Communications, 361 (4). pp. 903-909.

Kumar, Sandeep and Roy, Niranjan and Ganguli, Ranjan (2007) Monitoring low cycle fatigue damage in turbine blade using vibration characteristics. In: Mechanical Systems and Signal Processing, 21 (1). pp. 480-501.

Kumar, Sanjiv and Raju, VS and Kutty, TRN (2007) Investigations on surface composition and microstructure of sintered barium titanate. In: Journal of Materials Science, 42 (11). pp. 3977-3984.

Kumar, Sanjiv and Raju, VS and Kutty, TRN (2007) Preparation of $BaTi_4O_9$ and $Ba_2Ti_9O_{20}$ ceramics by the wet chemical gel-carbonate method and their dielectric properties. In: Materials Science and Engineering: B, 142 (2-3). pp. 78-85.

Kumar, Subodh and Dieringa, Hajo and Kainer, Karl-Ulrich (2007) Thermal cycling behaviour of the magnesium alloy based hybrid composites in the transverse direction. In: Materials Science and Engineering: A, 454-45 . pp. 367-370.

Kumar, Udaya and Hegde, Vishwanth and Darji, Pranavkumar B (2007) Investigations on voltages and currents in the lightning protection system of the Indian satellite launch pad-I during a stroke interception. In: IET Science, Measurement & Technology, 1 (5). pp. 225-231.

Kumaraguruparan, R and Seshagiri, PB and Hara, Y and Nagini, S (2007) Chemoprevention of rat mammary carcinogenesis by black tea polyphenols: Modulation of xenobiotic-metabolizing enzymes, oxidative stress, cell proliferation, apoptosis, and angiogenesis. In: Molecular Carcinogenesis, 46 (9). pp. 797-806.

Kumari, M and Pop, I and Nath, G (2007) Unsteady MHD boundary layer flow and heat transfer of a non­newtonian fluid in the stagnation region of a two­dimensional body. In: Magnetohydrodynamics, 43 (3). pp. 301-314.

Kumari, Neelam and Krupanidhi, SB and Varma, KBR (2007) Dielectric, impedance and ferroelectric characteristics of c-oriented bismuth vanadate films grown by pulsed laser deposition. In: Materials Science and Engineering: B, 138 (1). pp. 22-30.

Kumbhare, Liladhar B and Jain, Vimal K and Phadnis, Prasad P and Nethaji, Munirathinam (2007) Palladium(II) and platinum(II) 2-(methoxycarbonyl)ethylselenolates:Synthesis, spectroscopy, structures and their conversion into metal selenide. In: Journal of Organometallic Chemistry, 692 (7). pp. 1546-1556.

Kurian, Jaison and Vinayachandran, PN (2007) Mechanisms of formation of the Arabian Sea mini warm pool in a high-resolution Ocean General Circulation Model. In: Journal of Geophysical Research, 112 (C5).

Lakshmanan, N and Raghuprasad, BK and Muthumani, K and Gopalakrishnan, N and Basu, D (2007) Wavelet analysis and enhanced damage indicators. In: Smart Structures & Systems, 3 (1). pp. 23-49.

Lakshmanan, N and Raghuprasad, BK and Muthumani, K and Gopalakrishnan, N and Sreekala, R (2007) Seismic damage estimation through measurable dynamic characteristics. In: Computers and Concrete, 4 (3). pp. 167-186.

Lakshminarayanan, Sanjay and Mondal, Gopal and Tekwani, PN and Mohapatra, KK and Gopakumar, K (2007) Twelve-Sided Polygonal Voltage Space Vector Based Multilevel Inverter for an Induction Motor Drive With Common-Mode Voltage Elimination. In: IEEE Transactions on Industrial Electronics, 54 (5). pp. 2761-2768.

Lal, Amit and Raghunandan, BN (2007) Configuration for Propellant Gauging in Satellites. In: Journal of Space Craft and Rockets, 44 (1). pp. 143-146.

Lamba, Shakti and Kazi, Yasmin Claire and Deshpande, Sujata and Natesh, Meghana and Bhadra, Anindita and Gadagkar, Raghavendra (2007) A possible novel function of dominance behaviour in queen-less colonies of the primitively eusocial wasp Ropalidia marginata. In: Behavioural Processes, 74 (3). pp. 351-356.

Latha, C (2007) Microwave-assisted extraction of embelin from Embelia ribes. In: Biotechnology Letters, 29 (2). pp. 319-322.

Latha, Madhavi G and Murthy, Vidya S (2007) Effects of reinforcement form on the behavior of geosynthetic reinforced sand. In: Geotextiles and Geomembranes, 25 (1). pp. 23-32.

Latha, Madhavi G and Rajagopal, K (2007) Parametric finite element analyses of geocellsupported embankments. In: Canadian Geotechnical Journal / Revue canadienne de géotechnique, 44 (8). pp. 917-927.

Laxman, Srivatsan and Sastry, PS and Unnikrishnan, KP (2007) Discovering Frequent Generalized Episodes When Events Persist for Different Durations. In: IEEE Transactions on Knowledge and Data Engineering, 19 (9). pp. 1188-1201.

Lee, Sung-Sik and Lee, Patrick A and Senthil, T (2007) Amperean Pairing Instability in the U(1) Spin Liquid State with Fermi Surface and Application to $K-(BEDT-TTF_2)Cu_2(CN_3)$. In: Physical Review Letters, 98 (6). 067006:1-4.

Leela, Krishna P and Haritsa, Jayant R (2007) Schema-conscious XML indexing. In: Information Systems, 32 (2). pp. 344-364.

Limaye, Anil M and Asangani, Irfan and Bora, Namrata and Kondaiah, Paturu (2007) Novel flutamide regulated genes in the rat ventral prostate: differential modulation of their expression by castration and flutamide treatments. In: Asian Journal of Andrology, 9 (6). pp. 801-808.

Luthra, Abhinav and Jha, Anupam Nath and Ananthasuresh, GK and Vishveswara, Saraswathi (2007) A method for computing the inter-residue interaction potentials for reduced amino acid alphabet. In: Journal of Biosciences, 32 (5). pp. 883-889.

Maddani, Mahagundappa and Prabhu, Kandikere Ramaiah (2007) A convenient method for the synthesis of substituted thioureas. In: Tetrahedron Letters, 48 (40). pp. 7151-7154.

Madhu, GM and Raj, MALA and Pai, KVK and Rao, S (2007) Photodegradation of methylene blue dye using $UV/BaTiO_3$, $UV/H_2O_2$ and $UV/H_2O_2/BaTiO_3$ oxidation processes. In: Indian Journal of Chemical Technology, 14 (2). pp. 139-144.

Madras, Giridhar and McCoy, BJ (2007) Distribution Kinetic Approach for Separation of Polymorphs. In: Chemical Engineering Research and Design, 85 (10). pp. 1355-1361.

Madras, Giridhar and McCoy, Benjamin J (2007) Kinetic Model for Transformation from Nanosized Amorphous $TiO_2$ to Anatase. In: Crystal Growth and Design, 7 (2). pp. 250-253.

Madras, Giridhar and McCoy, Benjamin J (2007) Kinetics and dynamics of gelation reactions. In: Chemical Engineering Science, 62 (18-20). pp. 5257-5263.

Madras, Giridhar and McCoy, Benjamin J and Navrotsky, Alexandra (2007) Kinetic Model for $TiO_2$ Polymorphic Transformation from Anatase to Rutile. In: Journal of the American Ceramic Society, 90 (1). pp. 250-255.

Mahalakshmi, Radhakrishnan and Sengupta, Anindita and Raghothama, Srinivasarao and Shamala, Narayanaswamy and Balaram, Padmanabhan (2007) Tryptophan Rich Peptides: Influence of Indole Rings on Backbone Conformation. In: Peptide Science, 88 (1). pp. 36-54.

Mahapatra, Roy D and Melniki, RVN (2007) Finite Element Modelling and Simulation of Phase Transformations in Shape Memory Alloy Thin Films. In: International Journal for Multiscale Computational Engineering, 5 (1). pp. 65-71.

Mahapatra, Sudarshan and Madras, Giridhar and Row, Guru TN (2007) Structural and Photocatalytic Activity of Lanthanide (Ce, Pr, and Nd) Molybdovanadates. In: The Journal of Physical Chemistry C, 111 (17). pp. 6505-6511.

Mahapatra, Sudarshan and Madras, Giridhar and Row, Guru TN (2007) Synthesis, Characterization and Photocatalytic Activity of Lanthanide (Ce, Pr and Nd) Orthovanadates. In: Industrial and Engineering Chemistry Research, 46 (4). pp. 1013-1017.

Mahapatra, Sudarshan and Mallik, Srijita Basu and Rao, Venkateswara G and Reddy, Chandrasekara G and Row, Guru TN (2007) Garcinia lactone. In: Acta Crystallographica Section E: Structure Reports, 63 (9). o3869.

Mahata, Partha and Aarthi, T and Madras, Giridhar and Natarajan, Srinivasan (2007) Photocatalytic Degradation of Dyes and Organics with Nanosized $GdCoO_3$. In: Journal of Physical Chemistry C, 111 (4). pp. 1665-1674.

Mahata, Partha and Madras, Giridhar and Natarajan, Srinivasan (2007) New photocatalysts based on mixed-metal pyridine dicarboxylates. In: Catalysis Letters, 115 (1-2). pp. 27-32.

Mahata, Partha and Natarajan, Srinivasan (2007) A New Series of Three-Dimensional Metal-Organic Framework, $[M_2(H_2O)][C_5N_1H_3(COO)_2]_3\cdot 2H_2O, M = La, Pr,$ and Nd: Synthesis, Structure, and Properties. In: Inorganic Chemistry, 46 (4). pp. 1250-1258.

Mahata, Partha and Sundaresan, A and Natarajan, Srinivasan (2007) The role of temperature on the structure and dimensionality of MOFs:an illustrative study of the formation of manganese oxy-bis(benzoate)structures. In: Chemical Communication, 43 . pp. 4471-4473.

Maheshwari, Ramesh (2007) Associations, mergers and acquisitions in the biological world. In: Current Science, 92 (7). pp. 900-905.

Maheshwari, Ramesh (2007) Circadian rhythm in the pink-orange bread mould Neurospora crassa : for what? In: Journal of Biosciences, 32 (6). pp. 1053-1058.

Maheshwari, Ramesh (2007) Circadian rhythm in the pink–orange bread mould Neurospora crassa:for what? In: Journal of Biosciences, 32 (6). pp. 1053-1058.

Maiti, Prabal K and Pascal, Tod A and Vaidehi, Nagarajan and Goddard, William A (2007) Understanding DNA based nanostructures. In: Journal Of Nanoscience And Nanotechnology, 7 (6). pp. 1712-1720.

Maity, Rajib and Kumar, Nagesh D (2007) Hydroclimatic Teleconnection Between Global Sea Surface Temperature and Rainfall Over India at Subdivisional Monthly Scale. In: Hydrological Processes, 21 (14). pp. 1802-1813.

Majhi, Koushik and Prakash, Shri B and Varma, KBR (2007) Extreme values of relative permittivity and dielectric relaxation in $Sr_2SbMnO_6$ ceramics. In: Journal of Physics D: Applied Physics, 40 . pp. 7128-7235.

Majumdar, Sriparna and Sikdar, Sujit K (2007) Periodicity in $Na^+$ channel properties alters excitability of a model neuron. In: Biochemical and Biophysical Research Communications, 359 (4). pp. 908-914.

Makkapati, Vishnu V and Mahapatra, Pravas R (2007) Extreme Compression of Weather Radar Data. In: IEEE Transactions on Geoscience and Remote Sensing, 45 (11). pp. 3773-3783.

Mallikarjunaiah, KJ and Paramita, KC and Ramesh, KP and Damle, R (2007) Study of molecular reorientation and quantum rotational tunneling in tetramethylammonium selenate by H-1 NMR. In: Solid State Nuclear Magnetic Resonance, 32 (1). pp. 11-15.

Mallikarjunaiah, KJ and Ramesh, KP and Damle, R (2007) Study of molecular dynamics and phase transitions in trimethylammonium trichlorogermanate using $^1H$ NMR and DSC measurements. In: Physica status solidi, 244 (10). pp. 3809-3816.

Mandal, Amit Kumar and Ramasam, Mani Ramakrishnan Santhana and Sabareesh, Varatharajan and Openshaw, Matthew E and Krishnan, Kozhalmannom S and Balaram, Padmanabhan (2007) Sequencing of T-superfamily conotoxins from Conus virgo: Pyroglutamic acid identification and disulfide arrangement by MALDI mass spectrometry. In: Journal of the American Society for Mass Spectrometry, 18 (8). 1396-.

Mandal, Amit Kumar and Balaram, Padmanabhan (2007) Mass spectrometric identification of pyroglutamic acid in peptides following selective hydrolysis. In: Analytical Biochemistry, 370 (1). pp. 118-120.

Mandal, Amit Kumar and Woodi, Murall and Sood, Varun and Krishnaswamy, Patnam Rajagopalan and Rao, Anjah and Ballal, Sudarshan and Balaram, Padmanabhan (2007) Quantitation and characterization of glutathionyl haemoglobin as an oxidative stress marker in chronic renal failure by mass spectrometry. In: Clinical Biochemistry, 40 (13-14). pp. 986-994.

Mandal, Hiranmoy and Nagaraju, J (2007) GaAs/Ge and silicon solar cell capacitance measurement using triangular wave method. In: Solar Energy Materials and Solar Cells, 91 (8). pp. 696-700.

Mandal, Sukhendu and Green, Mark A and Pati, Swapan K and Natarajan, Srinivasan (2007) Synthesis, structure and magnetic properties of an inorganic–organic hybrid compound. In: Journal of Materials Chemistry, 17 (10). pp. 980-985.

Mandal, Sukhendu and Natarajan, Srinivasan (2007) Inorganic–Organic Hybrid Structures: Open-Framework Iron Phosphite–Oxalates of Varying Dimensionality. In: Chemistry- A European Journal, 13 (3). pp. 968-977.

Mani, Rohini and Bhuvanesh, NSP and Ramanujachary, KV and Green, William and Lofland, SE and Gopalakrishnan, J (2007) A novel one-pot metathesis route for the synthesis of double perovskites, $Ba_3MM'2O_9 (M = Mg, Ni, Zn; M' = Nb, Ta)$ with 1 : 2 ordering of M and M' atoms. In: Journal of Marterials Chemistry, 17 (16). pp. 1589-1592.

Mani, Rohini and Selvamani, P and Joy, Joby E and Gopalakrishnan, J (2007) Study of (Ba3MMWO9)-M-II-W-IV (M-II = Ca, Zn; M-IV = Ti, Zr) perovskite oxides: Competition between 3C and 6H perovskite structures. In: Inorganic Chemistry, 46 (16). pp. 6661-6667.

Mani, Rohini and Selvamani, P and Joy, Joby E and Gopalakrishnan, Jagannatha (2007) Study of (Ba3MMWO9)-M-II-W-IV (M-II = Ca, Zn; M-IV = Ti, Zr) perovskite oxides: Competition between 3C and 6H perovskite structures. In: Inorganic Chemistry, 46 (16). pp. 6661-6667.

Manikandan, N and Asokan, S (2007) Effect of indium doping on the electrical switching behaviour of Ge-Te glasses. In: Philosophical Magazine, 87 (32). 5109 -5116.

Manikandan, N and Asokan, S (2007) Observation of a thermally reversing window in bulk Ge15Te85-xInx glasses. In: Journal of Physics: Condensed Matter, 19 (37).

Manikutty, Sankaran and Anuradha, NS and Hansen, Katrin (2007) Does culture influence learning styles in higher education? In: International Journal of Learning and Change, 2 (1). pp. 70-87.

Manjuprasad, M and Manohar, CS (2007) Adaptive Random Field Mesh Refinements in Stochastic Finite Element Reliability Analysis of Structures. In: CMES-Computer Modeling in Engineering & Sciences, 19 (1). pp. 23-54.

Mankame, ND and Ananthasuresh, GK (2007) Synthesis of contact-aided compliant mechanisms for non-smooth path generation. In: International Journal for Numerical Methods in Engineering, 69 (12). pp. 2564-2605.

Mankame, Nilesh D and Ananthasuresh, GK (2007) A Compliant Transmission Mechanism With Intermittent Contacts for Cycle-Doubling. In: Journal of Mechanical Design, 129 (1). 114 -121.

Manohar, Shamaiah and Sreedhar, Dheeraj and Tikiya, Vibhor and Chockalingam, A (2007) Cancellation of Multiuser Interference Due to Carrier Frequency Offsets in Uplink OFDMA. In: IEEE Transactions on Wireless Communications, 6 (7). pp. 2560-2571.

Manohar, Shamaiah and Tikiya, Vibhor and Annavajjala, Ramesh and Chockalingam, Ananthanarayanan (2007) BER-Optimal Linear Parallel Interference Cancellation for Multicarrier DS-CDMA in Rayleigh Fading. In: IEEE Transactions on Communications, 55 (6). pp. 1253-1265.

Mantelingu, K and Reddy, Ashok BA and Swaminathan, V and Kishore, Hari A and Siddappa, Nagadenahalli B and Kumar, Pavan GV and Nagashankar, G and Natesh, Nagashayana and Roy, Siddhartha and Sadhale, Parag P and Ranga, Udaykumar and Narayana, Chandrabhas and Kundu, Tapas K (2007) Specific Inhibition of p300-HAT Alters Global Gene Expression and Represses HIV Replication. In: Chemistry & Biology, 14 (6). pp. 645-657.

Manvi, SS and Venkataram, P (2007) Mobile agent based approach for QoS routing. In: IET Communications, 1 (3). pp. 430-439.

Manzoor, K and Aditya, V and Vadera, SR and Kumar, N and Kutty, TRN (2007) A Single-Source Solid-Precursor Method for Making Eco-Friendly Doped Semiconductor Nanoparticles Emitting Multi-Color Luminescence. In: Journal of Nanoscience and Nanotechnology, 7 (2). pp. 463-473.

Marimuthu, A and Madras, Giridhar (2007) Effect of Alkyl-Group Substituents on the Degradation of Poly(alkyl methacrylates) in Supercritical Fluids. In: Industrial and Engineering Chemistry Research, 46 (1). pp. 15-21.

Marrocchelli, D and Postorino, P and Di Castro, D and Arcangeletti, E and Dore, P and Guidi, Cestelli M (2007) Pressure and temperature dependence of the Fano resonance in the Raman spectrum of $A_2FeMoO_6$ systems (A=Sr,Ca). In: Physical Review B, 76 . 172405-1-172405-4.

Martha, SC and Bora, SN and Chakrabarti, A (2007) Oblique water-wave scattering by small undulation on a porous sea-bed. In: Applied Ocean Research, 29 (1-2). pp. 86-90.

Mashraqui, Sabir H and Ghadigaonkar, Shailesh and Ashraf, Mohamed and Sri Ranjini, A and Ghosh, Sampa and Das, PK (2007) Optically transparent and thermally stable nonlinear optic chromophores featuring a thieno[2,3-b]thiophene donor. In: Tetrahedron, 63 (40). pp. 10011-10017.

Math, Souvik and Horn, Roger and Jayaram, Vikram and Biswas, Sanjay K (2007) A general contact mechanical formulation of multilayered structures and its application to deconvolute thickness/mechanical properties of glue used in surface force apparatus. In: Journal of Colloid and Interface Science, 308 (2). pp. 551-561.

Mathirajan, M and Chandru, V and Sivakumar, AI (2007) Heuristic algorithms for scheduling heat-treatment furnaces of steel casting industries. In: Sadhana, 32 (5). pp. 479-500.

Mathirajan, M and Ramanathan, R (2007) A (0–1) goal programming model for scheduling the tour of a marketing executive. In: European Journal of Operational Research, 179 (2). pp. 554-566.

Mavinkurve, Raghav G and Deepak, Vinay HS and Ramanathan, KV and Suryaprakash, N (2007) Analyses of the complex proton NMR spectra: Determination of anisotropic proton chemical shifts of oriented molecules by a two dimensional experiment. In: Journal of Magnetic Resonance, 185 (2). pp. 240-246.

Medhamurthy, R and Priyanka, G and Vinuthan, MK and Manjunatha, AM (2007) Short-term fasting leads to inhibition of responsiveness to LH-stimulated testosterone secretion in the adult male bonnet monkey. In: American Journal of Primatology, 69 (7). pp. 791-801.

Mehta, Goverdhan and Sen, Saikat and Venkatesan, Kailasam (2007) Additive induced polymorphous behavior of a conformationally locked hexol. In: CrystEngComm, 9 (2). pp. 144-151.

Mehta, Goverdhan and Bera, Mrinal K (2007) A concise approach towards the bicyclo[3.3.1]nonan-9-one core present in the phloroglucin natural product hyperforin. In: Tetrahedron Letters, 49 (8). pp. 1417-1420.

Mehta, Goverdhan and Maity, Pulakesh (2007) Towards the total synthesis of neurotrophically active tashironins: rapid construction of the tetracyclic core through a tandem oxidative dearomatization–IMDA reaction–RCM protocol. In: Tetrahedron Letters, 48 (50). pp. 8865-8868.

Mehta, Goverdhan and Sen, Saikat and Pallavi, Kotapalli (2007) Packing in three cyclooctitol acetates. In: Acta Crystallographica Section C: Crystal Structure Communications, 63 (12). o726-o728.

Mehta, Goverdhan and Sen, Saikat and Ramesh, Senaiar S (2007) Crystal Structures of Conformationally Locked Cyclitols: An Analysis of Hydrogen-Bonded Architectures and their Implications in Crystal Engineering. In: European Journal of Organic Chemistry, 2007 (3). pp. 423-436.

Mehta, Goverdhan and Shinde, Harish M (2007) Total synthesis of the novel seco-prezizaane sesquiterpenoid (+)-1S-minwanenone. In: Tetrahedron Letters, 48 (47). pp. 8297-8300.

Mhatre, Natasha and Balakrishnan, Rohini (2007) Phonotactic walking paths of field crickets in closed-loop conditions and their simulation using a stochastic model. In: The Journal of Experimental Biology, 210 . pp. 3661-3676.

Mishra, Debasis and Veeramani, Dharmaraj (2007) Vickrey-Dutch procurement auction for multiple items. In: European Journal Of Operational Research, 180 (2). pp. 617-629.

Mishra, Debasis and Veeramani, Dharmaraj (2007) Vickrey–Dutch procurement auction for multiple items. In: European Journal of Operational Research, 180 (2). pp. 617-629.

Misra, A and Surolia, N and Surolia, A (2007) Unveiling the unusual acylation behavior of type II fatty acid biosynthesis acyl carrier proteins. In: FEBS Journal, 274 (s1). 221 -244.

Misra, Ashish and Sharma, Shailendra Kumar and Surolia, Namita and Surolia, Avadhesha (2007) Self-acylation properties of type II fatty acid biosynthesis acyl carrier protein. In: Chemistry & Biology, 14 (7). 775 -783.

Mitra, Mira and Gopalakrishnan, S (2007) Wavelet spectral element for wave propagation studies in pressure loaded axisymmetric cylinders. In: Journal of Machanics of Materials and Structures, 2 (4). pp. 753-772.

Mitra, Avik and Sivapriya, K and Kumar, Anil (2007) Experimental implementation of a three qubit quantum game with corrupt source using nuclear magnetic resonance quantum information processor. In: Journal of Magnetic Resonance, 187 (2). pp. 306-313.

Mitra, Mira and Gopalakrishnan, S (2007) Vibrational characteristics of single-walled carbon- nanotube:Time and frequency domain analysis. In: Journal of Applied Physics, 101 (11). p. 114320.

Mitra, Mira and Gopalakrishnan, S (2007) Wave propagation in imperfectly bonded single walled carbon nanotube-polymer composites. In: Journal Of Applied Physics, 102 (8). 084301-1-084301-8.

Mitra, Mira and Gopalakrishnan, S (2007) Wave propagation in imperfectly bonded single walled carbon nanotube-polymer composites. In: Journal of Applied Physics, 102 . 084301.

Modak, Rahul and Sinha, Sharmistha and Surolia, Namita (2007) Isothermal unfolding studies on the apo and holo forms of Plasmodium falciparum acyl carrier protein. In: FEBS Journal, 274 (13). pp. 3313-3326.

Mohan, Bharath Kumar and Keller, Benjamin J and Ramakrishnan, Naren (2007) Scouts, Promoters, and Connectors: The Roles of Ratings in Nearest-Neighbor Collaborative Filtering. In: ACM Transactions on the Web (TWEB), 1 (2).

Mohanty, Atanu K and Chakraborty, Kanad and Chatterjee, Anindya (2007) A Combinatorial Optimization Problem for High Order PODs with Few Sensors. In: Journal of Vibration and Acoustics, 129 (2). pp. 252-255.

Molisch, Andreas F and Mehta, Neelesh B and Yedidia, Jonathan S and Zhang, Jin (2007) Performance of Fountain Codes in Collaborative Relay Networks. In: IEEE Transactions on Wireless Communications, 6 (11). pp. 4108-4119.

Mondal, Kajari and VijayRaghavan, K and Varadarajan, Raghavan (2007) Design and Utility of Temperature-Sensitive Gal4 Mutants for Conditional Gene Expression in Drosophila. In: Fly, 1 (5). pp. 282-286.

Mondal, Kartik C and Mukherjee, Partha S (2007) An Antiferromagnetic Mn(II)-Azido Chain: Synthesis and Characterization. In: Synthesis and Reactivity in Inorganic, Metal-Organic, and Nano-Metal Chemistry, 37 (9). 735 -739.

Mondal, Ashok Kumar and Rao, Chandra BSS and Kumar, Subodh (2007) Wear behaviour of AE42+20% saffil Mg-MMC. In: Tribology International, 40 (2). pp. 290-296.

Mondal, Kajari and Dastidar, Antara Ghosh and Singh, Guramrit and Madhusudhanan, S and Gande, Santosh Lakshmi and VijayRaghavan, K and Varadarajan, Raghavan (2007) Design and Isolation of Temperature-sensitive Mutants of Gal4 in Yeast and Drosophila. In: Journal of Molecular Biology, 370 (5). pp. 939-950.

Mondal, Kalyani and Raghava, Smita and Barua, Bipasha and Varadarajan, Raghavan and Gupta, Munishwar N (2007) Role of Stimuli-Sensitive Polymers in Protein Refolding: \alpha-Amylase and CcdB (Controller of Cell Division or Death B) as Model Proteins. In: Langmuir, 23 (1). pp. 70-75.

Mondal, Kartik Chandra and Drew, Mike GB and Mukherjee, Partha Sarathi (2007) Synthesis of a $Mn_6$ Cluster and Its Self-Assembly of an Azido-Bridged Polymer. In: Inorganic Chemistry, 46 (14). pp. 5625-5629.

Mondal, Kartik Chandra and Song, You and Mukherjee, Partha Sarathi (2007) Self-Assembly of a $Mn_9$ Nanoscopic Mixed-Valent Cluster: Synthesis, Crystal Structure, and Magnetic Behavior. In: Inorganic Chemistry, 46 (23). pp. 9736-9742.

Mondal, Partha and Munikrishna, N and Balakrishnan, N (2007) Cartesian-Like Grids Using a Novel Grid-Stitching Algorithm for Viscous Flow Computations. In: Journal of Aircraft, 44 (5). pp. 1598-1609.

Mondal, SK and Barai, Keshab and Munichandraiah, N (2007) High capacitance properties of polyaniline by electrochemical deposition on a porous carbon substrate. In: Electrochimica Acta, 52 (9). pp. 3258-3264.

Mondal, Sudip and Paul, Debjani and Venkataraman, V (2007) Dynamic optimization of on-chip polymerase chain reaction by monitoring intracycle fluorescence using fast synchronous detection. In: Applied Physics Letters, 90 (1). 013902-1-3013902-3.

Mondal, Sudip and Venkataraman, V (2007) Novel fluorescence detection technique for non-contact temperature sensing in microchip PCR. In: Journal of Biochemical and Biophysical Methods, 70 (5). pp. 773-777.

Moorthy, Krishna K and Babu, Suresh S and Satheesh, SK (2007) Temporal heterogeneity in aerosol characteristics and the resulting radiative impact at a tropical coastal station – Part 1: Microphysical and optical properties. In: Annales Geophysicae, 25 (11). pp. 2293-2308.

Moorthy, Krishna K and Babu, Suresh S and Satheesh, SK and Srinivasan, J and Dutt, CBS (2007) Dust absorption over the "Great Indian Desert" inferred using ground-based and satellite remote sensing. In: Journal of Geophysical Research Atmospheres, 112 (D09206). pp. 1-10.

Mudakavi, Rajeev and Brinda, * and Murthy, Srinivas M and Chopra, Deepak and Row, Guru TN (2007) 2,6-Bis(3,4-dimethoxybenzylidene)-4-ethylcyclohexanone. In: Acta Crystallographica Section E Structure Reports Online, E63 . o4432-o4432.

Muhuri, S and Rao, M and Ramaswamy, S (2007) Shear-flow–induced isotropic-to-nematic transition in a suspension of active filaments. In: Europhysics Letters, 78 (4). 48002-p1-48002-p5.

Mujumdar, PP and Nirmala, B (2007) Bayesian Stochastic Optimization Model for a Multi Reservoir Hydropower System. In: Water Resources Management, 21 (9). pp. 1465-1485.

Mukherjee, B and Maiti, PK and Dasgupta, C and Sood, AK (2007) Structure and dynamics of confined water inside narrow carbon nanotubes. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 1796-1799.

Mukherjee, Arnab and Victor, P and Krupanidhi, SB and Parui, J (2007) Leakage current behavior in pulsed laser deposited Ba(Zr0.05Ti0.95)O3 thin films. In: Journal of Applied Physics, 101 (3). 034106-034106.

Mukherjee, Arnab and Victor, P and Parui, J and Krupanidhi, SB (2007) Leakage current behavior in pulsed laser deposited $Ba(Zr_{0.05}Ti_{0.95})O_3$ thin films. In: Journal of Applied Physics, 101 (3). 034106:1-6.

Mukherjee, B and Ravishankar, N (2007) A novel solvothermal method for nanoparticle thin films and coatings. In: Nanotechnology, 18 (2). 025603:1-9.

Mukherjee, Biswaroop and Maiti, Prabal K and Dasgupta, Chandan and Sood, AK (2007) Strong correlations and Fickian water diffusion in narrow carbon nanotubes. In: Journal of Chemical Physics, 126 (12). 124704-1 .

Mukherjee, Partha S and Nazario, Lopez and Atta M, Arif and Cervantes-Lee, Francisco and Noveron, Juan C (2007) Single-crystal to single-crystal phase transitions of bis(N-phenylisonicotinamide)silver(I) nitrate reveal cooperativity properties in porous molecular materials. In: Chemical Communications (14). pp. 1433-1435.

Mukherjee, Victor and Divakaran, Uma and Dutta, Amit and Sen, Diptiman (2007) Quenching dynamics of a quantum XY spin-$1/2$ chain in a transverse field. In: Physical Review B, 76 . 174303-1-174303-8.

Mukhopadhyay, Chiranjit and Basu, Sanjib (2007) Bayesian Analysis of Masked Series System Lifetime Data. In: Communications in Statistics - Theory and Methods, 36 (2). pp. 329-348.

Mukhopadhyay, Banibrata (2007) Gravity-induced neutrino–antineutrino oscillation: CPT and lepton number non-conservation under gravity. In: Classical and Quantum Gravity, 24 (6). pp. 1433-1442.

Muktha, B and Madras, Giridhar and Row, Guru TN and Scherf, U and Patil, Satish (2007) Conjugated Polymers for Photocatalysis. In: Journal of Physical Chemistry B, 111 (28). pp. 7994-7998.

Muktha, B and Mahanta, Debajyoti and Patil, Satish and Madras, Giridhar (2007) Synthesis and photocatalytic activity of $poly(3-hexylthiophene)/TiO_2$ composites. In: Journal of Solid State Chemistry, 180 (10). pp. 2986-2989.

Muktha, B and Row, Guru TN (2007) Effect of substitution in the scheelite-like series, $A_xBa_{1-x}Bi_2Mo_4O_{16}$ (A = Ca, Sr, Pb). In: Materials Research Bulletin, 42 (12). pp. 2150-2155.

Mukunda, HS and Basani, J and Shravan, HM and Philip, B (2007) Smoldering combustion of "incense" sticks - Experiments and modeling. In: Combustion Science and Technology, 179 (6). pp. 1113-1129.

Muniyappa, K (2007) The role of postdoctoral training for careers in research and higher education. In: Current Science, 92 (4). pp. 450-454.

Munshi, Parthapratim and Cameron, Elinor and Row, TN Guru and Ferrara, Joseph D and Cameron, T Stanley (2007) Investigation of inter-ion interactions in N,N,N',N'-tetramethylethylenediammonium dithiocyanate via experimental and theoretical charge density studies. In: Journal of Physical Chemistry A, 111 (32). pp. 7888-7897.

Murali, P and Ramamurty, U and Shenoy, Vijay B (2007) Strain accommodation in inelastic deformation of glasses. In: Physical Review-B, 75 (2). 024203:1 -5.

Murthy, MVVS and Gopalakrishnan, S and Nair, PS (2007) A New Locking Free Higher Order Finite Element Formulation for Composite Beams. In: Computers, Materials & Continua, 5 (1). pp. 43-62.

Murthy, Bandaru Narasimha Murthy and Sinha, Sharmistha and Surolia, Avadhesha and Indi, Shantinath S and Jayaraman, Narayanaswamy (2007) SPR and ITC determination of the kinetics and the thermodynamics of bivalent versus monovalent sugar ligand–lectin interactions. In: Glycoconjugate Journal, 25 (4). pp. 313-321.

Murthya, OVSN and Venkataraman, V (2007) Construction and calibration of a 12 T pulsed magnet integrated with a 4 K closed-cycle refrigerator. In: Review of Scientific Instruments, 78 (11). 113905-1-113905-5.

Murugan, MS and Suresh, S and Ganguli, R and Mani, V (2007) Target vector optimization of composite box beam using real-coded genetic algorithm: a decomposition approach. In: Structural and Multidisciplinary Optimization, 33 (2). pp. 131-146.

Murugavel, Ramaswamy and Pothiraja, Ramasamy and Gogoi, Nayanmoni and Clerac, Rodolphe and Lecren, Lollita and Butcher, Ray J and Nethaji, Munirathinam (2007) Synthesis, magnetic behaviour, and X-ray structures of dinuclear copper complexes with multiple bridges. Efficient and selective catalysts for polymerization of 2,6-dimethylphenol. In: Dalton Transactions (23). pp. 2405-2410.

Muthuswamy, Elayaraja and Ramadevi, S Sree and Vasan, HN and Garcia, Cecile and Noe, Laure and Verelst, Marc (2007) Highly stable Ag nanoparticles in agar-agar matrix as inorganic-organic hybrid. In: Journal of Nanoparticle Research, 9 (4). 561 -567.

Nag, Angshuman and Sapra, Sameer and Chakraborty, S and Basu, S and Sarma, DD (2007) Synthesis of CdSe nanocrystals in a noncoordinating solvent: Effect of reaction temperature on size and optical properties. In: Journal of Nanoscience and Nanotechnology,, 7 (8). pp. 1965-1968.

Nag, Angshuman and Sapra, Sameer and Nagamani, C and Sharma, Ajay and Pradhan, N and Bhat, SV and Sarma, DD (2007) A Study of $Mn^{2+}$ Doping in CdS Nanocrystals. In: Chemistry of Materials, 19 (13). pp. 3252-3259.

Nag, Angshuman and Sarma, DD (2007) White Light from $Mn^{2+}$-Doped CdS Nanocrystals: A New Approach. In: The Journal of Physical Chemistry C, 111 (37). 13641 -13644.

Nagabhushana, BM and Chakradhar, Sreekanth RP and Ramesh, KP and Shivakumara, C and Chandrappa, GT (2007) Combustion synthesis, characterization and metal–insulator transition studies of nanocrystalline $La_{1-x}Ca_xMnO_3 (0.0 \leq x \leq 0.5)$. In: Materials Chemistry and Physics, 102 (1). pp. 47-52.

Naganagouda, K and Naganagouda, K and Shankar, SK and Dhananjay, SK and Mulimani, VH (2007) Immobilization of Aspergillus oryzae alpha-galactosidase in gelatin and its application in removal of flatulence-inducing sugars in soymilk. In: World Journal of Microbiology and Biotechnology, 23 (8). pp. 1131-1137.

Nagapriya, KS and Raychaudhuri, AK and Shivashankar, GV (2007) Thermal Fluctuations in Histone During Denaturation. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 2125-2128.

Nagaraja, CM and Nethaji, Munirathinam and Jagirdar, Balaji R (2007) Tris(pyrazolyl)methane Sulfonate Complexes of Iridium: Catalytic Hydrogenation of 3,3-Dimethyl-1-butene. In: Organometallics, 26 (25). pp. 6307-6311.

Nagesh, K and Gupta, D and Kabra, D and Narayan, KS and Ramakrishnan, S (2007) Tunable two-colour patterning of MEHPPV from a single precursor. In: Journal of Materials Chemistry, 17 (17). pp. 1682-1686.

Nagpal, Rahul and Srikant, YN (2007) Pragmatic integrated scheduling for clustered VLIW architectures. In: Software: Practice and Experience, 38 (3). pp. 227-257.

Naidu, RA and Adkins, S and Ravi, KS and Chiemsombat, P and Jain, RK and Savithri, HS and Gajanandana, O and Muniyappa, V and Riley, DJ (2007) Epidemiology of Tospoviruses in South and Southeast Asia: Current status and future prospects. In: Journal of Insect Science, 7 .

Nalatore, Hariharan and Ding, Mingzhou and Rangarajan, Govindan (2007) Mitigating the effects of measurement noise on Granger causality. In: Physical Review E, 75 (Part 1). 031123:1-10.

Nalatore, Hariharan and Truccolo, Wilson and Rangarajan, Govindan (2007) Fast Robust Pattern Classification Algorithms for Real Time Neuro-Motor Prosthetic Applications. In: Journal of the Indian Institute of Science, 87 (4).

Namdeo, Vikas and Manohar, CS (2007) Nonlinear structural dynamical system identification using adaptive particle filters. In: Journal of Sound and Vibration, 306 (3-5). pp. 524-563.

Nampoothiri, Laxmipriya P and Agarwal, Avnika and Gupta, Sarita (2007) Effect of co-exposure to lead and cadmium on antioxidant status in rat ovarian granulose cells. In: Archives of Toxicology, 81 (3). pp. 145-150.

Nanda, KK and Maisels, A and Kruis, FE and Rellinghaus, B (2007) Anomalous thermal behavior of gold nanostructures. In: Europhysics Letters (EPL), 80 . 56003:1-4.

Nandakumaran, AK (2007) An Overview of Homogenization. In: Journal of the Indian Institute of Science, 87 (4).

Nandi, Anil V and Patnaik, L M and Banakar, RM (2007) Memory-efficient spatial prediction image compression scheme. In: Image and Vision Computing, 25 (6). pp. 899-906.

Nanjundiah, Ravi S and Krishnamurti, TN (2007) Intraseasonal oscillation of tropical convergence zones: Theory and prediction. In: Current Science, 93 (2). pp. 173-181.

Narasimha, Roddam and Kumar, Rudra S and Prabhu, A and Kailas, SV (2007) Turbulent flux events in a nearly neutral atmospheric boundary layer. In: Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, 365 (1852). pp. 841-858.

Narasimhamurthy, T and Shashidhar, * and Shivashankar, SA and Reddy, Vittala P and Rathore, RS (2007) 2-(Cyanomethoxy)benzonitrile. In: Acta Crystallographica Section E:Structure Reports Online, 63 (2). o796-o798.

Narayan, Gopinathan and Kumar, Saleesh NS and Paul, Somak and Srinivas, Oruganti and Jayaraman, Narayanaswamy and Das, Suresh (2007) Aggregation and photoresponsive behavior of azobenzene–oligomethylene–glucopyranoside bolaamphiphiles. In: Journal of Photochemistry and Photobiology A: Chemistry, 189 (2-3). pp. 405-413.

Narayan, Vijay and Ramaswamy, Sriram and Menon, Narayanan (2007) Long-lived giant number fluctuations in a swarming granular nematic. In: Science, 317 (5834). pp. 105-108.

Narayana, Yeddula and Joshi, Beenu and Katoch, VM and Mishra, Kanhu Charan and Balaji, Kithiganahalli N (2007) Differential B-Cell Responses Are Induced by Mycobacterium tuberculosis PE Antigens Rv1169c, Rv0978c, and Rv1818c. In: Clinical and Vaccine Immunology (formerly CDLI), 14 (10). pp. 1334-1341.

Narayanan, EK and Rawat, R and Ray, SK (2007) Approximation by K-finite functions in $L^p$ spaces. In: Israel Journal of Mathematics, 161 (1). pp. 187-207.

Narayanaswamy, NS and Belkale, N and Chandran, LS and Sivadasan, N (2007) A note on the Hadwiger number of circular arc graphs. In: Information Processing Letters, 104 (1). pp. 10-13.

Nayak, Tapan K and Sikdar, SK (2007) Time-Dependent Molecular Memory in Single Voltage-Gated Sodium Channel. In: Journal of Membrane Biology, 219 (1-3). pp. 19-36.

Nayak, Susanta K and Jena, Anirudha and Neelgund, Gururaj M and Shivashankar, SA and Row, TN Guru (2007) Bis(tert-butyl-3-oxobutanoato)dipyridinenickel(II) benzene solvate. In: Acta Crystallographica Section E Structure Reports Online, 63 (6). M1604-U409.

Neelgund, Gururaj M and Shivashankar, SA and Narasimhamurthy, T and Rathore, RS (2007) Tris(acetylacetonato-$k^2O,O')$(1,10-phenanthroline-$k^2N,N')$erbium(III). In: Acta Crystallographica Section C: Crystal Structure Commuications, 63 (2). m74-m76.

Neelgund, Gururaj M and Shivashankar, SA and Narasimhamurthy, T and Rathore, RS (2007) Tris(acetylacetonato-kappa O-2,O`)(1,10-phenanthroline-kappa N-2,N`)erbium(III). In: Acta Crystallographica Section C-Crystal Structure Communications, 63 (Part 2). M74-M76.

Negi, Vidya Devi and Singhamahapatra, Santanu and Chakravortty, Dipshikha (2007) Salmonella enterica serovar Typhimurium strain lacking pmrG-HM-D provides excellent protection against salmonellosis in murine typhoid model. In: Vaccine, 25 (29). pp. 5315-5323.

Nethravathi, C and Ravishankar, N and Shivakumara, C and Rajamathi, Michael (2007) Nanocomposites of \alpha-hydroxides of nickel and cobalt by delamination and co-stacking: Enhanced stability of \alpha-motifs in alkaline medium and electrochemical behaviour. In: Journal of Power Sources, 172 (2). pp. 970-974.

Neyvasagam, K and Soundararajan, N and Venkatraman, V and Ganesan, V (2007) Ellipsometric studies on cupric telluride thin films. In: Vacuum, 82 (1). pp. 72-77.

Nityananda, Vivek and Balakrishnan, Rohini (2007) Synchrony during acoustic interactions in the bushcricket Mecopoda ‘Chirper’ (Tettigoniidae:Orthoptera) is generated by a combination of chirp-by-chirp resetting and change in intrinsic chirp rate. In: Journal of Comparative Physiology A: Neuroethology, Sensory, Neural, and Behavioral Physiology, 193 (1). pp. 51-65.

Nityananda, Vivek and Stradner, Juergen and Balakrishnan, Rohini and Roemer, Heinrich (2007) Selective attention in a synchronising bushcricket: physiology. In: Journal of Comparative Physiology, 193 (9). 983 -991.

Nityananda, Vivek and Stradner, Juergen and Balakrishnan, Rohini and Roemer, Heinrich (2007) Selective attention in a synchronising bushcricket: physiology, behaviour and ecology. In: Journal of Comparative Physiology, 193 (9). 983 -991.

Nityananda, Vivek and Stradner, Juergen and Balakrishnan, Rohini and Roemer, Heinrich (2007) Selective attention in a synchronising bushcricket: physiology, behaviour and ecology. In: Journal of Comparative Physiology A: Sensory, Neural, and Behavioral Physiology, 193 (9). pp. 983-991.

Nonappa, * and Maitra, Uday (2007) First Chemical Synthesis, Aggregation Behavior and Cholesterol Solubilization Properties of Pythocholic Acid and 16α-Hydroxycholic Acid. In: European Journal of Organic Chemistry, 2007 (20). pp. 3331-3336.

Nonappa, * and Maitra, Uday (2007) Simple esters of cholic acid as potent organogelators: direct imaging of the collapse of SAFINs. In: Soft Matter (3). pp. 1428-1433.

Nongthomba, Upendra and Ansari, Maqsood and Thimmaiya, Divesh and Stark, Meg and Sparrow, John (2007) Aberrant Splicing of an Alternative Exon in the Drosophila Troponin-T Gene Affects Flight Muscle Development. In: Genetics, 177 (1). pp. 295-306.

Omkaram, I and Chakradhar, Sreekanth RP and Rao, Lakshmana J (2007) EPR, optical, infrared and Raman studies of $VO^{2+}$ ions in polyvinylalcohol films. In: Physica B: Condensed Matter, 388 (1-2). pp. 318-325.

Oo, Yee Yee and Sampoornab, M and Nagendrab, KN and Ananthamurthy, Sharath and Ramachandranb, G (2007) Scattering polarization in the presence of magnetic and electric fields. In: Journal of Quantitative Spectroscopy and Radiative Transfer, 108 (2). pp. 161-179.

Padhi, R and Unnikrishnan, N and Balakrishnan, SN (2007) Model-following neuro-adaptive control design for non-square, non-affine nonlinear systems. In: IET Control Theory & Applications, 1 (6). pp. 1650-1661.

Padhi, Radhakant and Kothari, Mangal (2007) An optimal dynamic inversion-based neuro-adaptive approach for treatment of chronic myelogenous leukemia. In: Computer Methods and Programs in Biomedicine, 87 (3). pp. 208-224.

Padiyar, KR and Prabhu, N (2007) Analysis of SSR with three-level twelve-pulse VSC-based interline power-flow controller. In: IEEE Transactions on Power Delivery, 22 (3). pp. 1688-1695.

Padiyar, KR and Prabhu, Nagesh (2007) Analysis of SSR With Three-Level Twelve-Pulse VSC-Based Interline Power-Flow Controller. In: IEEE Transactions on Power Delivery, 22 (3). pp. 1688-1695.

Padmanaban, Govindarajan and Nagaraj, Arun V and Rangarajan, Pundi N (2007) Drugs and drug targets against malaria. In: Current Science, 92 (11). pp. 1545-1555.

Padmanaban, Govindarajan and Nagaraj, Viswanathan Arun and Rangarajan, Pundi N (2007) An alternative model for heme biosynthesis in the malarial parasite. In: Trends in Biochemical Sciences, 32 (10). pp. 443-449.

Padmanabhan, B and Bhat, HL and Elizabeth, Suja and Roßler, Sahana and Roßler, UK and Dorr, K and Muller, KH (2007) Critical properties in single crystals of $Pr_{1-x}Pb_xMnO_3$. In: Physical Review-B, 25 (2). 024419:1-7.

Padmanabhan, B and Sharma, Ajay and Rao, SS and Elizabeth, Suja and Bhat, HL and Bhat, SV (2007) EPR studies on single crystals of $Pr_{1-x}Pb_xMnO_3$. In: Physica B: Condensed Matter, 398 (1). pp. 107-111.

Padmanabhan, M and Joseph, KC and Thirumurugan, A and Huang, Xiaoying and Emge, Thomas J and Li, Jing (2007) One-dimensional zig-zag type coordination polymers of Ni(II) and Cu(II) containing 1,3-benzenedicarboxylate and 1,3-diaminopropane: Structural, spectral and thermal studies. In: Inorganica Chimica Acta, 360 (8). pp. 2583-2588.

Padmashri, Ragunathan and Sikdar, Sujit Kumar (2007) Glutamate pretreatment affects $Ca^{2+}$ signaling in processes of astrocyte pairs. In: Journal of Neurochemistry, 100 (1). pp. 105-117.

Pai, Rajani and Govindarajan, R (2007) FEADS: A Framework for Exploring the Application Design Space on Network Processors. In: International Journal of Parallel Programming, 35 (1). pp. 1-31.

Pal, Asish and Ghosh, Yamuna K and Bhattacharya, Santanu (2007) Molecular mechanism of physical gelation of hydrocarbons by fatty acid amides of natural amino acids. In: Tetrahedron, 63 (31). pp. 7334-7348.

Pal, S and Kulkarni, MG and Kubair, DV (2007) Mode-3 spontaneous crack propagation in symmetric functionally graded materials. In: International Journal of Solids and Structures, 44 (1). pp. 242-254.

Palani, K and Ponnuswamy, MN and Jaisankar, P and Srinivasan, PC and Nethaji, M (2007) 2-Formyl-1-phenylsulfonyl-1H-indole-3-carbonitrile. In: Acta Crystallographica Section E: Structure Reports, 63 (4). pp. 1906-1907.

Palani, K and Ponnuswamy, MN and Jaisankar, P and Srinivasan, PC and Nethaji, M (2007) N-[(3-Methyl-1-phenylsulfonyl-1H-indol-2-yl)-methyl]-1,1-dioxo-1-benzo[d]isothiazol-3(2H)-one. In: Acta Crystallographica Section E, 63 (4). pp. 2026-2028.

Palaniyandi, P and Rangarajan, Govindan (2007) Critical lattice size limit for synchronized chaotic state in one- and two-dimensional diffusively coupled map lattices. In: Physical Review E - Statistical, Nonlinear and Soft Matter Physics, 76 (2).

Panchakarla, LS and Govindaraj, A (2007) Carbon nanostructures and graphite-coated metal nanostructures obtained by pyrolysis of ruthenocene and ruthenocene–ferrocene mixtures. In: Bulletin of Materials Science, 30 (1). pp. 23-29.

Panda, Debiprasad and Ramanarayanan, V (2007) Mutual Coupling and Its Effect on Steady-State Performance and Position Estimation of Even and Odd Number Phase Switched Reluctance Motor Drive. In: IEEE Transactions on Magnetics, 43 (8). pp. 3445-3456.

Pandey, Ashok K and Pratap, Rudra (2007) Modelling the Effect of Residual Stress and Perforations on the Dynamic Characteristics of MEMS Devices. In: Advances in Vibration Engineering .

Pandey, AK and Pratap, R and Chau, FS (2007) Effect of Pressure on Fluid Damping in MEMS Torsional Resonators with Flow Ranging from Continuum to Molecular Regime. In: Experimental Mechanics .

Pandey, Ashok Kumar and Pratap, Rudra (2007) Effect of flexural modes on squeeze film damping in MEMS cantilever resonators. In: Journal of Micromechanics and Microengineering, 17 (12). pp. 2475-2484.

Pandey, Ashok Kumar and Pratap, Rudra (2007) A comparative study of analytical squeeze film damping models in rigid rectangular perforated MEMS structures with experimental results. In: Microfluidics and Nanofluidics, 4 (3). pp. 205-218.

Pandey, Ashok Kumar and Pratap, Rudra and Chau, Fook Siong (2007) Analytical solution of the modified Reynolds equation for squeeze film damping in perforated MEMS structures. In: Sensors and Actuators A: Physical, 135 (2). pp. 839-848.

Pandey, Ashok kumar and Pratap, Rudra and Chau, Fook Siong (2007) Influence of Boundary Conditions on the Dynamic Characteristics of Squeeze Films in MEMS Devices. In: Journal of Microelectromechanical Systems, 16 (4). pp. 893-903.

Panigrahi, SN and Munjal, ML (2007) Backpressure considerations in designing of cross flow perforated-element reactive silencers. In: Noise Control Engineering Journal, 55 (6). pp. 504-515.

Panigrahi, SN and Munjal, ML (2007) A generalized scheme for analysis of multifarious commercially used mufflers. In: Applied Acoustics, 68 (6). pp. 660-681.

Parida, R and Shaila, MS and Mukherjee, S and Chandra, NR and Nayak, Rabindranath (2007) Computational analysis of proteome of H5N1 avian influenza virus to define T cell epitopes with vaccine potential. In: Vaccine, 25 (43). pp. 7530-7539.

Parimita, Sahoo Prangya and Ramshankar, Yadav Vivek and Suresh, Sarasija and Row, Guru TN (2007) Redetermination of curcumin: (1E,4Z,6E)-5-hydroxy-1,7-bis(4-hydroxy-3-methoxyphenyl)hepta-1,4,6-trien-3-one. In: Acta Crystallographica Section E:Structure Reports Online, 63 (2). o860-o862.

Parui, S and Thangavelu, S (2007) Variations on a theorem of cowling and price with applications to nilpotent Lie groups. In: Journal Of The Australian Mathematical Society, 82 (Part 1). pp. 11-27.

Patel, Jiten and Ananthasuresh, GK (2007) A kinematic theory for radially foldable planar linkages. In: International Journal of Solids and Structures, 44 (18-19). pp. 6279-6298.

Patil, Veerupaxagouda and Kumar, Anujith and Kuruppath, Sanjana and Nandi, Dipankar (2007) Peptidase N encoded by Salmonella enterica serovar Typhimurium modulates systemic infection in mice. In: FEMS Immunology & Medical Microbiology, 51 (2). pp. 431-442.

Patra, Ashis K and Bhowmick, Tuhin and Ramakumar, Suryanarayanarao and Chakravarty, Akhil R (2007) Metal-Based Netropsin Mimics Showing AT-Selective DNA Binding and DNA Cleavage Activity at Red Light. In: Inorganic Chemistry:Communication, 46 (22). pp. 9030-9032.

Patra, Ashis K and Nethaji, Munirathinam and Chakravarty, Akhil R (2007) Synthesis, crystal structure, DNA binding and photo-induced DNA cleavage activity of (S-methyl-L-cysteine)copper(II) complexes of heterocyclic bases. In: Journal of Inorganic Biochemistry, 101 (2). pp. 233-244.

Patra, S and Munichandraiah, N (2007) Supercapacitor studies of electrochemically deposited PEDOT on stainless steel substrate. In: Journal of Applied Polymer Science, 106 (2). pp. 1160-1171.

Patre, Rupesh E and Gawas, Suraj and Sen, Saikat and Parameswaran, PS and Tilve, Santosh G (2007) Domino Wittig Diels–Alder reaction: an expeditious entry into the AB ring system of furanosesquiterpenes. In: Tetrahedron Letters, 48 (20). pp. 3517-3520.

Pattanayak, Pulok and Asokan, S (2007) Electrical switching and thermal studies on $Ge_{22}Te_{78-x}I_x$ chalcohalide glasses: The effect of iodine on network-topology. In: Solid State Communications, 142 (12). pp. 698-701.

Pattanayak, Pulok and Asokan, S (2007) Electrical switching and thermal studies on Ge22Te78-xIx chalcohalide glasses: The effect of iodine on network-topology. In: Solid State Communications, 142 (12). pp. 698-701.

Pattanayak, Pulok and Manikandan, N and Paulraj, M and Asokan, S (2007) Photo-thermal deflection and electrical switching studies on Ge–Te–I chalcohalide glasses. In: Journal of Physics:Condensed Matter, 19 (3). 036224-8.

Paul, Krishna and Varghese, Anitha and Iyer, Sridhar and Ramamurthi, Bhaskar and Kumar, Anurag (2007) WiFiRe: Rural Area Broadband Access Using the WiFi PHY and a Multisector TDD MAC. In: IEEE Communications Magazine, 45 (1). pp. 111-119.

Paul, Somak and Jayaraman, Narayanaswamy (2007) Synthesis of Aryl-2-deoxy-D-lyxo/arabino-hexopyranosides from 2-deoxy-1-thioglycosides. In: Carbohydrate Research, 342 (10). pp. 1305-1314.

Pawar, PM and Reddy, KV and Ganguli, R (2007) Damage detection in beams using spatial Fourier analysis and neural networks. In: Journal of Intelligent Material Systems and Structures, 18 (4). pp. 347-359.

Pawar, PM and Ganguli, R (2007) Helicopter rotor health monitoring- a review. In: Proceedings of the Institute of Mechanical Engineerign Part G Journal of Aerospace Engineering, 221 (5). pp. 631-647.

Pawar, Prashant M and Ganguli, Ranjan (2007) Fuzzy-logic-based health monitoring and residual-life prediction for composite helicopter rotor. In: Journal of Aircraft, 44 (3). pp. 981-995.

Pawar, Prashant M and Ganguli, Ranjan (2007) Genetic fuzzy system for online structural health monitoring of composite helicopter rotor blades. In: Mechanical Systems and Signal Processing, 21 (5). pp. 2212-2236.

Pawar, Prashant M and Ganguli, Ranjan (2007) Genetic fuzzy system for online structural health monitoring of composite helicopter rotor blades. In: Mechanical Systems and Signal Processing, 21 (5). pp. 2212-2236.

Pawar, Prashant M and Ganguli, Ranjan (2007) On the effect of progressive damage on composite helicopter rotor system behavior. In: Composite Structures, 78 (3). pp. 410-423.

Phaniraj, MP and Prasad, MJNV and Chokshi, AH (2007) Grain-size distribution effects in plastic flow and failure. In: Materials Science and Engineering A, 463 (1-2). pp. 231-237.

Poddar, Anindya and Chandra, Nagasuma and Ganapathiraju, Madhavi and Sekar, K and Klein-Seetharaman, Judith and Reddy, Rai and Balakrishnan, N (2007) Evolutionary insights from suffix array-based genome sequence analysis. In: JOURNAL OF BIOSCIENCES, 32 (5). pp. 871-881.

Poornimaa, Lakshmanamurthy B and Karande, Anjali A (2007) Differential sialylation regulates the apoptotic activity of glycodelin A. In: FEBS Letters, 581 (22). pp. 4366-4370.

Poswal, HK and Karmakar, S and Tyagi, Pawan K and Misra, DS and Busetto, E and Sharma, Surinder M and Sood, AK (2007) High-pressure behavior of Ni-filled and Fe-filled multiwalled carbon nanotubes. In: Physica status solidi, 244 (10). 3612 -3619.

Prabhu, D and Ganesan, K and Narayanasamy, A and Chattopadhyay, K and Ponpandian, N (2007) Magnetic properties of amorphous $Fe_{73.5}Cu_1Mo_3Si_{12.5}Al_1B_9$ alloy. In: Materials Science and Engineering A, 449-45 . pp. 452-455.

Prabhu, D and Narayanasamy, A and Ganesan, K and Ponpandian, N and Chattopadhyay, K (2007) Exchange field penetration in $Fe_{73.5}Cu_1Mo_3Si_{12.5}Al_1B_9$ alloy. In: Journal of Alloys and Compounds, 438 (1-2). pp. 15-20.

Prabhu, D and Narayanasmy, A and Chattopadhyay, K (2007) Effect of Al substitution on the magnetic properties of amorphous Fe73.5Cu1Mo3Si13.5-xAlxB9 alloy. In: Journal of Non-Crystalline Solids, 353 (16-17). pp. 1577-1581.

Prajapati, Ravindra Singh and Das, Mili and Sreeramulu, Sridhar and Sirajuddin, Minhajuddin and Srinivasan, Sankaranarayanan and Krishnamurthy, Vaishnavi and Ranjani, Ranganathan and Ramakrishnan, C and Varadarajan, Raghavan (2007) Thermodynamic Effects of Proline Introduction on Protein Stability. In: Proteins: Structure, Function, and Bioinformatics, 66 (2). pp. 480-491.

Prajapati, Ravindra Singh and Indu, S and Varadarajan, Raghavan (2007) Identification and thermodynamic characterization of molten globule states of periplasmic binding proteins. In: Biochemistry, 46 (36). pp. 10339-10352.

Prakash, AS and Shivakumara, C and Hegde, MS (2007) Single step preparation of $CeO_2/CeAlO_3/\gamma-Al_2O_3$ by solution combustion method: Phase evolution, thermal stability and surface modification. In: Materials Science and Engineering: B, 139 (1). pp. 55-61.

Prakash, AS and Shivakumara, C and Hegde, MS and Dupont, L and Tarascon, JM (2007) Synthesis of non-stoichiometric $Bi_2O_{4-x}$ by oxidative precipitation. In: Materials Research Bulletin, 42 (4). pp. 707-712.

Prakash, B Shri and Varma, KBR (2007) Effect of the addition of B2O3 and BaO-B2O3-SiO2 glasses on the microstructure and dielectric properties of giant dielectric constant material CaCu3Ti4O12. In: Journal of Solid State Chemistry, 180 (6). pp. 1918-1927.

Prakash, B Shri and Varma, KBR (2007) Influence of sintering conditions and doping on the dielectric relaxation originating from the surface layer effects in CaCu3Ti4O12 ceramics. In: Journal of Physics and Chemistry of Solids, 68 (4). pp. 490-502.

Prakash, Shri B and Varma, KBR (2007) Ferro electriclike and pyroelectric behavior of CaCu3Ti4O12 ceramics. In: Applied Physics Letters, 90 (8). 082903-082903.

Prakash, Shri B and Varma, KBR (2007) Ferroelectriclike and pyroelectric behavior of $CaCu_3Ti_4O_{12}$ ceramics. In: Applied Physics Letters, 90 (8). 082903:1-3.

Prakash, Tulika and Sandhu, Kuljeet Singh and Singh, Nitin Kumar and Bhasin, Yasha and Ramakrishnan, C and Brahmachari, Samir K (2007) Structural assessment of glycyl mutations in invariantly conserved motifs. In: Proteins: Structure, Function, and Bioinformatics, 69 (3). 617- 632.

Prasad, Kavirayani R and Dhaware, Madhuri G (2007) Stereoselective total synthesis of (-)-9-Deoxygoniopypyrone. In: Synlett, 2007 (07). pp. 1112-1114.

Prasad, Kavirayani R and Dhaware, Madhuri G (2007) Stereoselective total synthesis of bioactive styryllactones: 9-Deoxygoniopypyrone, goniopypyrone and 7-epi-Goniofufurone. In: Synthesis, 2007 (23). pp. 3697-3705.

Prasad, Eswar K and Raghavan, R and Ramamurty, U (2007) Temperature dependence of pressure sensitivity in a metallic glass. In: Scripta Materialia, 57 (2). pp. 121-124.

Prasad, KR and Anbarasan, Pazhamalai (2007) Enantioselective synthesis of $\alpha$-benzyloxy-$\omega$-alkenals: application to the synthesis of (+)-exo-brevicomin, (+)-iso-exo-brevicomin, and (-)-isolaurepan. In: Tetrahedron-Asymmetry, 18 (12). pp. 1419-1427.

Prasad, Kavirayani R and Anbarasan, Pazhamalai (2007) Enantiodivergent Synthesis of Both Enantiomers of Gypsy Moth Pheromone Disparlure. In: Journal of Organic Chemistry, 72 (8). pp. 3155-3157.

Prasad, Kavirayani R and Anbarasan, Pazhamalai (2007) Stereoselective formal synthesis of (−)-centrolobine. In: Tetrahedron, 63 (5). pp. 1089-1092.

Prasad, Kavirayani R and Anbarasan, Pazhamalai (2007) Stereoselective synthesis of (−)-6-acetoxyhexadecanolide: a mosquito oviposition attractant pheromone. In: Tetrahedron: Asymmetry, 18 (20). pp. 2479-2483.

Prasad, Kavirayani R and Chandrakumar, Appayee (2007) Stereoselective syntheses of \gamma-alkyl (aryl)-$\alpha$, $_\beta$ -dihydroxy-\gamma-butyrolactones and naturally occurring lipid guggultetrol. In: Tetrahedron, 63 (8). pp. 1798-1805.

Prasad, Kavirayani R and Gholap, Shivajirao L (2007) Facile stereoselective syntheses of goniodiol, 8-epi-goniodiol and 9-deoxygoniopypyrone. In: Tetrahedron Letters, 48 (27). pp. 4679-4682.

Prasad, Kavirayani R and Penchalaiah, Kamala and Choudhary, Amit and Anbarasan, Pazhamalai (2007) Stereoselective synthesis of (−)-microcarpalide. In: Tetrahedron Letters, 48 (2). pp. 309-311.

Prasad, Pavirayani R and Chandrakumar, Appayee (2007) Stereoselective synthesis of cytotoxic anhydrophytosphingosine pachastrissamine [Jaspine B]. In: Journal of Organic Chemistry, 72 (16). pp. 6312-6315.

Prasad, Pramod V and Chaube, Shail K and Panchal, Manoj and Chaudhary, Rajesh and Muralidhar, K and Rohil, Vishwajeet and Kumari, GL and Kumar, Anand and Ashish, B and Murthy, GS and Shrivastav, TG (2007) Molecular dissection of an hCG-β epitope using single-step solid phase radioimmunoassa. In: Clinica Chimica Acta, 376 (1-2). pp. 52-59.

Prasad, Raghu BK and Devi, Renuka MV (2007) Extension of FCM to plain concrete beams with vertical tortuous cracks. In: Engineering Fracture Mechanics, 74 (17). pp. 2758-2769.

Prasad, S and Paul, A (2007) Theoretical consideration on the formation of nanotube following the Kirkendall effect. In: Applied Physics Letters, 90 (23). pp. 233114-3.

Prasanna, Jyothi S and Saha, Banishree and Nandi, Dipankar (2007) Involvement of oxidative and nitrosative stress in modulation of gene expression and functional responses by $IFN\gamma$. In: International Immunology, 19 (7). pp. 867-879.

Prasanna, Srinivasa V and Kamath, Vishnu P and Shivakumara, C (2007) Synthesis and characterization of layered double hydroxides (LDHs) with intercalated chromate ions. In: Materials Research Bulletin, 42 (6). pp. 1028-1039.

Prashanth, Bhanu SB and Asokan, S (2007) A programmable high voltage electrical switching analyzer for I-V characterization of phase change materials. In: Journal of Instrumentation, 2 . T07003-1-T07003-10.

Pratap, Rudra and Arunkumar, A (2007) Material selection for MEMS devices. In: Indian Journal of Pure and Applied Physics, 45 (4). pp. 358-367.

Pratap, Rudra and Mohite, Suhas and Pandey, Ashok K (2007) Squeeze Film Effects in MEMS Devices. In: Journal of the Indian Institute of Science, 87 (1). pp. 75-94.

Prathap, SJ and Nooji, SR and Kalluraya, B and Row, TNG (2007) 2-Bromo-3-ethoxy-3-[4-(methylsulfanyl)- phenyl]-1-[3-(p-tolyl)-4-sydnonyl]- propan-1-one. In: Acta Crystallographica Section E Structure Reports Online, 63 (10). p. 3976.

Praveen, C and Deshpande, SM (2007) Kinetic meshless method for compressible flows. In: International Journal for Numerical Methods in Fluids, 55 (11). pp. 1059-1089.

Priyanka, S and Medhamurthy, R (2007) Characterization of cAMP/PKA/CREB signaling cascade in the bonnet monkey corpus luteum: expressions of inhibin-\alpha and StAR during different functional status. In: Molecular Human Reproduction, 13 (6). pp. 381-390.

Proffit, Magali and Schatz, Bertrand and Borges, Renee M and Mckey, Martine Hossaert (2007) Chemical mediation and niche partitioning in nonpollinating fig-wasp communities. In: Journal of Animal Ecology, 76 (2). pp. 296-303.

Qingyu, Yan and Raghuveer, Makala S and Li, Huafang and Singh, Binay and Kim, Taegyun and Shima, Mutsuhiro and Bose, Arijit and Ramanath, Ganapathiraman (2007) Rod-Shaped Assemblies of $FePt-PtTe_2$ through Dynamic Templating. In: Advanced Materials, 19 (24). pp. 4358-4363.

Radha, V and Vimaleswaran, KS and Babu, S and Deepa, R and Anjana, M and Ghosh, S and Majumder, PP and Rao, MRS and Mohan, V (2007) Lack of association between serum adiponectin levels and the Pro12Ala polymorphism in Asian Indians. In: Diabetic Medicine, 24 (4). pp. 398-402.

Radha, AV and Kamath, P Vishnu and Shivakumara, C (2007) Order and disorder among the layered double hydroxides: combined Rietveld and DIFFaX approach. In: Acta Crystallographica Section B Structural Science, 63 (2). pp. 243-250.

Radha, AV and Kamath, Vishnu P and Ravishankar, N and Shivakumara, C (2007) Suppression of the Reversible Thermal Behavior of the Layered Double Hydroxide (LDH) of Mg with Al: Stabilization of Nanoparticulate Oxides. In: Langmuir, 23 (14). pp. 7700-7706.

Radha, AV and Thomas, Grace S and Kamath, Vishnu P and Shivakumara, C (2007) Suppression of spinel formation to induce reversible thermal behavior in the layered double hydroxides (LDHs) of Co with Al, Fe, Ga, and In. In: J. Phys. Chem. B, 111 (13). pp. 3384-3390.

Ragavan, K and Satish, L (2007) Closure on "An Efficient Method to Compute Transfer Function of a Transformer From Its Equivalent Circuit". In: IEEE Transactions on Power Delivery, 22 (2). pp. 1261-1262.

Ragavan, K and Satish, L (2007) Localization of Changes in a Model Winding Based on Terminal Measurements: Experimental Study. In: IEEE Transactions on Power Delivery, 22 (3). pp. 1557-1565.

Raghavan, SC and Lieber, MR (2007) DNA structure and human diseases. In: Frontiers in Bioscience, 12 . pp. 4402-4408.

Raghavan, Sathees C and Gu, Jiafeng and Swanson, Patrick C and Lieber, Michael R (2007) The structure-specific nicking of small heteroduplexes by the RAG complex: Implications for lymphoid chromosomal translocations. In: DNA Repair, 6 (6). pp. 751-759.

Raghavendra, B and Arunan, E (2007) Unpaired and \sigma Bond Electrons as H, Cl, and Li Bond Acceptors: An Anomalous One-Electron Blue-Shifting Chlorine Bond. In: Journal of Physical Chemistry A, 111 (39). pp. 9699-9706.

Raghunath, K and Chockalingam, A (2007) SIR Analysis and Interference Cancellation in Uplink OFDMA with Large Carrier Frequency/Timing Offsets. In: Wireless Communications and Networking Conference, 1-9 . 997 -1002.

Raghuram, H and Gopukumar, N and Sripathi, K (2007) Presence of single as well as double clicks in the echolocation signals of a fruit bat, Rousettus leschenaulti (Chiroptera : Pteropodidae). In: Folia Zoologica, 56 (1). pp. 33-38.

Raguraman, M and Deb, A and Gupta, NK (2007) A numerical study of projectile impact on mild steel armour plates. In: Current Science, 93 (4). pp. 498-506.

Rahman, SH and Simon, B and Joshi, PC (2007) Evolution of geophysical parameters over the Indian Ocean region during contrasting monsoon years of 2002 and 2003 using TRMM/TMI data. In: Theoretical and Applied Climatology, 89 (3-4). pp. 211-227.

Rai, Jagdish and Raghothama, S and Sahal, D (2007) De novo Design of $\Delta F$ -containing Heme-binding Peptides. In: Chemical Biology & Drug Design, 69 (2). pp. 119-123.

Rai, Jagdish and Raghothama, S and Sahal, D (2007) Tyrosine-heme ligation in heme-peptide complex: design based on conserved motif of catalase. In: Journal Of Peptide Science, 13 (6). pp. 406-412.

Rai, Jagdish and Raghothama, S and Sahal, D (2007) Tyrosine–heme ligation in heme–peptide complex: design based on conserved motif of catalase. In: Journal of Peptide Science, 13 (6). pp. 406-412.

Rai, Rajkishor and Raghothama, Srinivasarao and Sridharan, Rajagopalan and Balaram, Padmanabhan (2007) Tuning the beta-turn segment in designed peptide beta-hairpins: Construction of a stable type I ' beta-turn nucleus and hairpin-helix transition promoting segments. In: Biopolymers, 88 (3). 350 -361.

Rai, Rajkishor and Vasudev, Prema G and Ananda, Kuppanna and Raghothama, Srinivasarao and Shamala, Narayanaswamy and Karle, Isabella L and Balaram, Padmanabhan (2007) Hybrid Peptides: Expanding the \beta Turn in Peptide Hairpins by the Insertion of \beta-, \gamma-, and \delta-Residues. In: Chemistry - A European Journal, 13 (20). pp. 5917-5926.

Raj, S and Hashimoto, D and Matsui, H and Souma, S and Sato, T and Takahashi, T and Ray, S and Chakraborty, A and Sarma, DD and Mahadevan, P and Oishi, S and McCarroll, WH and Greenblatt, M (2007) Metal–insulator transition in sodium tungsten bronzes, $Na_xWO_3$, studied by angle-resolved photoemission spectroscopy. In: Journal of Magnetism and Magnetic Materials, 310 (2). e231-e233.

Raj, S and Matsui, H and Souma, S and Sato, T and Takahashi, T and Chakraborty, A and Sarma, DD and Mahadevan, P and Oishi, S and McCarroll, WH and Greenblatt, M (2007) Electronic structure of sodium tungsten bronzes $Na_xWO_3$ by high-resolution angle-resolved photoemission spectroscopy. In: Physical Review B, 75 . 155116-1-11.

Rajan, Manu and Rajan, Roby (2007) Knowledge-Driven Change in Academic Organizations: A Knowledge Management Perspective. In: International Journal of Knowledge, Culture and Change Management, 7 (5). pp. 73-79.

Rajan, Sundar S and Srinivasan, V and Balasubramanyam, M and Tatu, U (2007) Endoplasmic reticulum (ER) stress & diabetes. In: Indian Journal of Medical Research, 125 (3). pp. 411-424.

Rajanbabu, N and Chatterjee, Anindya and Menon, AG (2007) Motional coherence during resonance ejection of ions from Paul traps. In: International Journal of Mass Spectrometry, 261 (2-3). pp. 159-169.

Rajanbabu, N and Marathe, Amol and Chatterjee, Anindya and Menon, AG (2007) Multiple scales analysis of early and delayed boundary ejection in Paul traps. In: International journal of Mass Spectrometry, 261 (2-3). pp. 170-182.

Rajanikanth, BS and Srinivasan, AD (2007) Pulsed Plasma Promoted Adsorption/Catalysis for NOx Removal from Stationary Diesel Engine Exhaust. In: IEEE Transactions on Dielectrics and Electrical Insulation, 14 (2). pp. 302-311. (Unpublished)

Rajaram, V and Bhavani, BS and Kaul, Purnima and Prakash, V and Rao, Appaji N and Savithri, HS and Murthy, MRN (2007) Structure determination and biochemical studies on Bacillus stearothermophilus E53Q serine hydroxymethyltransferase and its complexes provide insights on function and enzyme memory. In: FEBS Journal, 274 (16). pp. 4148-4160.

Rajdev, Priya and Chatterji, Dipankar (2007) Thermodynamic and Spectroscopic Studies on the Nickel Arachidate-RNA Polymerase Langmuir-Blodgett Monolayer. In: Langmuir, 23 (4). pp. 2037-2041.

Rajgopal, K and Babu, Dinesh J and Venkataraman, S (2007) Generalized adaptive IFIR filter bank structures. In: Signal Processing, 87 (7). pp. 1575-1596.

Rajkishor, Rai and Balaram, Padmanabhan (2007) Control of Polypeptide Chain Folding and Assembly. In: Wiley-VCH Verlag GmbH & Co. KGaA .

Raju, K Srinivasa and Kumar, D Nagesh (2007) Classification of Indian meteorological stations using cluster and fuzzy cluster analysis, and Kohonen artificial neural networks. In: Nordic Hydrology, 38 (3). pp. 303-314.

Ram, Sundhar S and Manjunath, D and Iyer, Srikanth K and Yogeshwaran, D (2007) On the Path Coverage Properties of Random Sensor Networks. In: IEEE Transactions On Mobile Computing, 6 (5). pp. 446-458.

Ramachandra, TV (2007) Comparative Assessment of Techniques for Bioresource Monitoring Using GIS and Remote Sensing. In: Energy Research Group, 1 (2).

Ramachandra, TV (2007) Comparative Assessment of Techniques for Bioresource Monitoring Using Gis and Remote Sensing. In: The ICFAI Journal of Environmental Sciences, 1 (2).

Ramachandra, TV (2007) Geographical Information System Approach for Regional Biogas Potential Assessment. In: Research Journal of Environmental Sciences, 2 (3). pp. 170-184.

Ramachandra, TV (2007) Geospatial Mapping of Bioenergy Potential in karnataka, India. In: Journal of Energy and Environment, 6 .

Ramachandra, TV (2007) Solar energy potential assessment using GIS. In: Energy Education Science and Technology, 18 (2). pp. 101-114.

Ramachandra, TV (2007) Vegetation Status in Uttara Kannada District. In: Energy & Wetlands Research Group, 6 (7). pp. 1-26.

Ramachandra, TV and Bachamanda, Shruthi (2007) Environmental audit of Municipal Solid Waste Management. In: Int. J. Environmental Technology and Management, 7 (3-4). pp. 369-391.

Ramachandra, TV and Ganapathy, Savitha (2007) Vegetation Analysis in Uttara Kannada District Using GIS and Remote Sensing Techniques. In: Environmental Information System .

Ramachandra, TV and Nagarathna, AV (2007) Community-based Natural Resource Management: Issues and Cases from South Asia. In: Sage Publications India Pvt Ltd, . p. 362.

Ramachandra, TV and Nagarathna, AV (2007) Joint Forest Management: Issues and Experiences. In: The Icfai University Press . p. 209.

Ramachandra, TV and Shruthi, BV (2007) Spatial mapping of renewable energy potential. In: Renewable and Sustanable Energy Reviews, 11 (7). pp. 1460-1480.

Ramachandra, TV and Solanki, Malvikaa (2007) Ecological Assessment of Lentic Water Bodies of Bangalore. In: The Ministry of Science and Technology .

Ramachandra, TV and Sreekantha, * and Purnima, GB (2007) Bioenergy Status of Sharavathi River Basin, Western Ghats, India. In: Bioenergy .

Ramachandra, TV and Sudhira, HS (2007) Present status of Gottigere Tank : Indicator of Decision maker’s apathy. In: Energy and Wetlands Research Group .

Ramachandran, G and Kanakam, Charles Christopher and Manivannan, V and Thiruvenkatam, Vijay and Guru Row, TN (2007) 2-Ethoxy-4-methylphenyl p-toluenesulfonate. In: E63 . o4638-o4638.

Ramakrishnan, C and Lakshmi, B and Kurien, A and Devipriya, D and Srinivasan, N (2007) Structural compromise of disallowed conformations in Peptide and protein structures. In: Protein Peptide Letters, 14 (7). pp. 672-682.

Ramamoorthy, K and Kumar, K and Koinkar, Pankaj and Ganesan, K and Shah, Amit P and Sankaranarayanan, K and Ramasamy, P (2007) A novel in situ method for simultaneous growth of smart material single crystals and thin films. In: Smart Materials and Structures, 16 (1). pp. 83-88.

Raman, RK and Shukla, AK (2007) A Direct Borohydride/Hydrogen Peroxide Fuel Cell with Reduced Alkali Crossover. In: Fuel Cells, 7 (3). pp. 225-231.

Ramanan, S and Bogner, SK and Furnstahl, RJ (2007) Weinberg eigenvalues and pairing with low-momentum potentials. In: Nuclear Physics A, 797 (3-4). pp. 81-93.

Ramananda, D and Ramesh, KP and Uchil, J (2007) Dependence of $^{35}Cl$ NQR on hydrogen bonding and temperature in dichlorophenol–aniline charge transfer complexes. In: Magnetic Resonance in Chemistry, 45 (10). pp. 860-864.

Ramani, Shilpa and Chelliah, Jayabaskaran (2007) UV-B-induced signaling events leading to enhanced-production of catharanthine in Catharanthus roseus cell suspension cultures. In: BMC Plant Biology, 7 (61). pp. 1-17.

Ramani, Shilpa and Chelliah, Jayabaskaran (2007) UV-B-induced signaling events leading to enhanced-production of catharanthine in Catharanthus roseus cell suspension cultures. In: BMC Plant Biology, 7 . 61-1-61-17.

Ramanuja, MN and Ramesh, KP and Ramakrishna, J (2007) Disorder in condensed matter systems: proton spin lattice relaxation study of the mixed systems of betaine phosphate and glycine phosphite, $BP_xGPI_{(1-x)}$. In: Magnetic Resonance in Chemistry, 45 (12). 1027 -1034.

Ramasarma, T (2007) Many faces of superoxide dismutase, originally known as erythrocuprein. In: Current Science, 92 (2). pp. 184-191.

Ramasarma, T and Rao, Aparna VS (2007) Catalytic activity of superoxide dismutase: A method based on its concentration-dependent constant decrease in rate of autoxidation of pyrogallol. In: Current Science, 92 (11). pp. 1481-1482.

Ramasubramaniam, M and Mathirajan, M (2007) A solution framework for scheduling a BPM with non-identical job dimensions. In: Journal of Industrial and Management Optimization, 3 (3). pp. 445-456.

Ramaswamy, Sriram and Rao, Madan (2007) Active-filament hydrodynamics: instabilities, boundary conditions and rheology. In: New Journal of Physics, 9 (423).

Rambabu, Konduru V and Allam, Mehter M (2007) Response of an open-plane frame to multiple support horizontal seismic excitations with soil–structure interaction. In: Journal of Sound and Vibration, 299 (1-2). pp. 388-396.

Ramesh, Ramapanicker and Chandrasekaran, Yogesh and Megha, Rajendran and Chandrasekaran, Srinivasan (2007) Base catalyzed cyclization of N-aryl and N-alkyl-O-propargyl carbamates to 4-alkylidene-2-oxazolidinones. In: Tetrahedron, 63 (37). pp. 9153-9162.

Ramesh, Ramapanicker and Kavita De, * and Chandrasekaran, Srinivasan (2007) An efficient synthesis of dehydroamino acids and dehydropeptides from O-Cbz and O-Eoc derivatives of serine and threonine. In: Tetrahedron, 63 (42). pp. 10534-10542.

Ramesha, GK and Sampath, S (2007) Exfoliated Graphite Oxide Modified Electrode for the Selective Determination of Picomolar Concentration of Lead. In: Electroanalysis, 19 (23). pp. 2472-2478.

Ramprasad, S and Radha, V and Mathias, RA and Majumder, PP and Rao, MRS and Rema, M (2007) Rage gene promoter polymorphisms and diabetic retinopathy in a clinic-based population from South India. In: Eye, 21 (3). pp. 395-401.

Ramya, TNC and Mishra, Satyendra and Karmodiya, Krishanpal and Surolia, Namita and Surolia, Avadhesha (2007) Inhibitors of Nonhousekeeping Functions of the Apicoplast Defy Delayed Death in Plasmodium falciparum. In: Antimicrobial Agents and Chemotherapy, 51 (1). pp. 307-316.

Ramya, TNC and Karmodiya, Krishanpal and Surolia, Avadhesha and Surolia, Namita (2007) 15-Deoxyspergualin Primarily Targets the Trafficking of Apicoplast Proteins in Plasmodium falciparum. In: Journal of Biological Chemistry, 282 (9). pp. 6388-6397.

Ramya, TNC and Surolia, Namita and Surolia, Avadhesha (2007) 15-Deoxyspergualin inhibits eukaryotic protein synthesis through $eIF2\alpha$ phosphorylation. In: Biochemical Journal, 401 (2). pp. 411-420.

Ranganathan, P and Agrawal, A and Bhushan, R and Chavalmane, AK and Kalathur, RKR and Takahashi, T and Kondaiah, P (2007) Expression profiling of genes regulated by TGF-beta: Differential regulation in normal and tumour cells. In: BMC Genomics, 8 (98).

Ranganathan, S and Lord, EA and Mukhopadhyay, NK and Singh, Alok (2007) A symmetrical indexing scheme for decagonal quasicrystals analogous to Miller-Bravais indexing of hexagonal crystals. In: Acta Crystallographica Section A, 63 (1). pp. 1-10.

Rangannan, Vetriselvi and Bansal, Manju (2007) Identification and annotation of promoter regions in microbial genome sequences on the basis of DNA stability. In: Journal of Biosciences, 32 (5). pp. 871-881.

Rani, Dandamudi Usha and Prasad, Dasari LVK and Nixon, John F and Jemmis, Eluvathingal D (2007) Electronic structure and bonding studies on triple-decker sandwich complexes with a $P_6$ middle ring. In: Journal of Computational Chemistry, 28 (1). pp. 310-319.

Ranjith, R and Chaudhuri, Ayan Roy and Krupanidhi, SB and Victor, P (2007) Role of template layer on microstructure, phase formation and polarization behavior of ferroelectric relaxor thin films. In: Journal of Applied Physics, 101 . 104111-1-104111-9.

Ranjith, R and Krupanidhi, SB (2007) Antiferroelectriclike polarization behavior in compositionally varying $(1-x) Pb(Mg_{1/3}Nb_{2/3})O_{3-(x)}PbTiO_{3}$ multilayers. In: Applied Physics Letters, 91 (8).

Ranjith, R and Krupanidhi, SB (2007) Antiferroelectriclike polarization behavior in compositionally varying (1-x) Pb(Mg1/3Nb2/3)O3–(x) PbTiO3 multilayers. In: Applied Physics Letters, 91 (8). 082907-082907.

Rao, AJ (2007) Proteomic profiling of forskolin-induced differentiated BeWo cells: an in-vitro model of cytotrophoblast differentiation. In: Reproductive BioMedicine Online, 14 (4). 477 -487.

Rao, AJ and Ramachandra, SG and Ramesh, V and Krishnamurthy, HN and Ravindranath, N and Moudgal, NR (2007) Establishment of the need for oestrogen during implantation in non-human primates. In: Reproductive BioMedicine Online, 14 (5). pp. 563-571.

Rao, SS and Bhat, SV (2007) Preparation, Characterization, and Magnetic Studies of $Bi_{0.5}X_{0.5}(X = Ca, Sr)MnO_3$ Nanoparticles. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 2025-2028.

Rao, Achanta Ramakrishna and Kumar, Bimlesh (2007) Aspect ratio effect on oxygen transfer process in rectangular tank surface aerator. In: Asia-Pacific Journal of Chemical Engineering, 2 (6). pp. 592-598.

Rao, Achanta Ramakrishna and Kumar, Bimlesh (2007) Neural Modeling of Square Surface Aerators. In: Journal of Environmental Engineering, 133 (4). pp. 411-418.

Rao, Achanta Ramakrishna and Kumar, Bimlesh (2007) Predicting Re-aeration Rates Using Artificial Neural Networks in Surface Aerators. In: International Journal of Applied Environmental Sciences, 2 (1). pp. 155-166.

Rao, Achanta Ramakrishna and Kumar, Bimlesh (2007) Scale-up criteria of square tank surface aerator. In: Biotechnology and Bioengineering, 96 (3). pp. 464-470.

Rao, Achanta Ramakrishna and Kumar, Bimlesh (2007) Square surface aerator: Process modeling and parameter optimization. In: Journal of Environmental Informatics, 9 (2). pp. 108-117.

Rao, Achanta Ramakrishna and Kumar, Bimlesh (2007) Theoretical power per unit volume and unbaffled stirred tanks. In: Chemical Technology: An Indian Journal, 2 (3).

Rao, Achanta Ramakrishna and Kumar, Bimlesh (2007) The use of circular surface aerators in wastewater treatment tanks. In: Journal of Chemical Technology & Biotechnology, 82 (1). pp. 101-107.

Rao, Achanta Ramakrishna and Kumar, Bimlesh and Patel, Ajey Kumar (2007) Relative performance of different shaped surface aeration tanks. In: Water Quality Research Journal of Canada, 42 (1). pp. 26-40.

Rao, Achanta Ramakrishna and Kumar, Bimlesh and Sreenivasulu, Gopu (2007) Metamodelling approach to design the alluvial channels at incipient motion. In: International Journal of Sediment Research, 22 (3). pp. 218-227.

Rao, CNR and Serrao, Claudy Rayan (2007) New routes to multiferroics. In: Journal of Materials Chemistry, 17 (47). pp. 4931-4938.

Rao, K Prabhakara and Rao, CNR (2007) Coordination polymers and hybrid networks of different dimensionalities formed by metal sulfites. In: Inorganic Chemistry, 46 (7). pp. 2511-2518.

Rao, Koteswara V and Chakrabarti, Sandip and Natarajan, Srinivasan (2007) Amine-Templated Open-Framework Zinc Arsenates of Varying Dimensionalities: Synthesis, Structure, Polymorphism, and Transformation Reactions. In: Inorganic Chemistry, 46 (25). pp. 10781-10790.

Rao, Madhusudhana G and Narasimham, GSVL (2007) Laminar conjugate mixed convection in a vertical channel with heat generating components. In: International Journal of Heat and Mass Transfer, 50 (17-18). pp. 3561-3574.

Rao, Mohana M and Thomas, Joy M and Singh, BP (2007) Electromagnetic Field Emission From Gas-to-Air Bushing in a GIS During Switching Operations. In: IEEE Transactions on Electromagnetic Comptibility, 49 (2). pp. 313-321.

Rao, Mohana M and Thomas, Joy M and Singh, BP (2007) Transients Induced on Control Cables and Secondary Circuit of Instrument Transformers in a GIS During Switching Operations. In: IEEE Transactions on Power Delivery, 22 (3). pp. 1505-1513.

Rao, Palla Venkata Gopala and Gandhi, KS and Ayappa, KG (2007) Enhancing the Hydrophobic Effect in Confined Water Nanodrops. In: Langmuir, 23 (26). pp. 12795-12798.

Rao, Prakash S and Tripathy, Sushree S and Raichur, Ashok M (2007) Dispersion studies of sub-micron zirconia using Dolapix CE 64. In: Colloids and Surfaces A: Physicochemical and Engineering Aspects, 302 (1-3). pp. 553-558.

Rao, Ramakrishna and Patra, Ashis K and Chetana, PR (2007) DNA binding and oxidative cleavage activity of ternary (L-proline)copper(II) complexes of heterocyclic bases. In: Polyhedron, 26 (18). pp. 5331-5338.

Rao, Shilpa P and Sikdar, Sujit Kumar (2007) Acute treatment with 17\beta-estradiol attenuates astrocyte-astrocyte and astrocyte-neuron communication. In: GLIA, 55 (16). pp. 1680-1689.

Rao, Sudhakar M and Thyagaraj, T (2007) Role of direction of salt migration on the swelling behaviour of compacted clays. In: Applied Clay Science, 38 (1-2). pp. 113-129.

Rao, Vandavasi K and Green, Mark A and Pati, Swapan K and Natarajan, Srinivasan (2007) Synthesis, Structure, and Magnetic Properties of a Novel Pillared Layered Iron(III) Arsenate,$[4,4\prime-bpyH_2]_3$ $[Fe_9(H_2O)_6F_3(HAsO_4)_{12}(AsO_4)_2]$ $\bullet2H_2O$. In: The Journal of Physical Chemistry B, 111 (44). pp. 12700-12706.

Rao, Vishwanatha AN and Ramesh, ON (2007) The dynamics of surge in compression systems. In: Sadhana: Academy Proceedings in Engineering Sciences, 32 (1-2). pp. 43-49.

Rathore, Vivek and Madras, Giridhar (2007) Synthesis of biodiesel from edible and non-edible oils in supercritical alcohols and enzymatic synthesis in supercritical carbon dioxide. In: Fuel, 86 (17-18). pp. 2650-2659.

Ravi, R and Prasad, YVRK and Sarma, VVS (2007) An Artificial Neural Network (ANN) Model for Predicting Instability Regimes in Copper-Aluminum Alloys. In: Materials and Manufacturing Processes, 22 (7). 846 -850.

Ravi Chandra, V and Rao, Sumathi and Sen, Diptiman (2007) Renormalization group study of the Kondo problem at a junction of several Luttinger wires. In: Physical Review-B, 75 (4). 045435:1-14.

Ravikumar, B and Thukaram, Dhadbanjan and Khincha, HP (2007) Intelligent Approach for Fault Diagnosis in Power Transmission Systems Using Support Vector Machines. In: International Journal of Emerging Electric Power Systems, 8 (4). pp. 1-32.

Ray, AK and Dwarakadasa, ES and Das, DK and Ranganath, VR and Goswam, B and Sahu, JK and Whittenberger, JD (2007) Fatigue behavior of a thermal barrier coated superalloy at $800 ^0 C$. In: Materials Science and Engineering: A, 448 (1-2). pp. 294-298.

Raychaudhury, Molly De and Saha-Dasgupta, T and Sarma, DD (2007) Theoretical study of doped $Tl_2Mn_2O_7$ and $Tl_2Mn_2O_7$ under pressure. In: Physical Review-B, 75 (1). 014443:1 -9.

Reddy, KV and Ganguli, R (2007) Fourier analysis of mode shapes of damaged beams. In: Computers, Materials & Continua (CMC), 5 (2). pp. 79-97.

Reddy, Sreekantha D and Reddy, BK and Reddy, Koteeswara N and Gunasekhar, KR and Reddy, Sreedhara P (2007) Structural and Electrical Properties of Resistive Thermal Evaporated $Cd_{1-x}Mn_xS$ Nano-Crystalline Films. In: Synthesis and Reactivity in Inorganic, Metal-Organic, and Nano-Metal Chemistry, 37 (5). pp. 373-376.

Reddy, Anki K and Kumaran, V (2007) Applicability of constitutive relations from kinetic theory for dense granular flows. In: Physical Review E, 76 . 061305-1.

Reddy, Ch Chakradhar and Ramu TS, TS (2007) Estimation of thermal breakdown voltage of HVDC cables - A theoretical framework. In: IEEE Transactions on Dielectrics and Electrical Insulation, 14 (2). pp. 400-408.

Reddy, Chakradhar and Ramu, TS (2007) On the Intrinsic Thermal Stability in HVDC Cables. In: IEEE Transactions on Dielectrics and Electrical Insulation, 14 (6). pp. 1509-1515.

Reddy, D Sreekanta and Reddy, D Raja and Reddy, BK and Reddy, A Mallikarjuna and Gunasekhar, KR and Reddy, P Sreedhara (2007) Annealing effect on physical properties of thermally evaporated MnS nanocrystalline films. In: Journal of OptoElectronics and Advanced Materials, 9 (7). pp. 2019-2022.

Reddy, Janga M and Kumar, Nagesh D (2007) Multi-objective particle swarm optimization for generating optimal trade-offs in reservoir operation. In: Hydrological Processes, 21 (21). 2897- 2909.

Reddy, Janga M and Kumar, Nagesh D (2007) Multiobjective Differential Evolution with Application to Reservoir System Optimization. In: Journal of Computing in Civil Engineering, 21 (2). pp. 136-146.

Reddy, Janga M and Kumar, Nagesh D (2007) Optimal reservoir operation for irrigation of multiple crops using elitist-mutated particle swarm optimization. In: Hydrological Sciences Journal, 52 (4). pp. 686-701.

Reddy, Janga M and Kumar, Nagesh D (2007) An efficient multi-objective optimization algorithm based on swarm intelligence for engineering design. In: Engineering Optimization, 39 (1). pp. 49-68.

Reddy, Koteeswara N and Reddy, Ramakrishna KT (2007) Preparation and characterisation of sprayed tin sulphide films grown at different precursor concentrations. In: Materials Chemistry and Physics, 102 (1). pp. 13-18.

Reddy, Mamatha M and Rajasekharan, Ram (2007) Serine/threonine/tyrosine protein kinase from Arabidopsis thaliana is dependent on serine residues for its activity. In: Archives of Biochemistry and Biophysics, 460 (1). pp. 122-128.

Reddy, Mamatha M and Rudrabhatla, Parvathi and Rajasekharan, Ram (2007) Importance of threonine residues in the regulation of peanut serine/threonine/tyrosine protein kinase activity. In: Plant Science, 172 (5). pp. 1054-1059.

Reddy, Narayana C and Asokan, S and Anavekar, RV (2007) High field electrical behaviour in lithium–phospho–vanadate glass system. In: Bulletin of Materials Science, 30 (1). pp. 65-68.

Reddy, Ramana and Kumar, Sanjeev (2007) Dispersal of sticky particles. In: Europhysics Letters (EPL), 80 . 56001:1-6.

Reddy, Ravikumar A and Ranjini, Sri A and Das, Puspendu K and Samuelson, Ashoka G (2007) The effect of halogen atom on the molecular quadratic nonlinearity of half sandwich complexes in the presence of an acceptor. In: Inorganica Chimica Acta, 360 (8). pp. 2778-2782.

Reddy, Sreekantha D and Kang, B and Yu, Seong-Cho and Gunasekhar, KR and Rao, Narasimha K and Divya, A and Reddy, Sreedhara P (2007) Microstructure, optical and photoluminescence properties of simple thermal evaporated CdS nanocrystalline films. In: Journal of Optoelectronics and Advanced Materials, 9 (12). 3747 -3750.

Reddy, Sreekantha D and Reddy, BK and Reddy, Koteeswara N and Gunasekhar, KR and Reddy, Sreedhara P (2007) Microstructure and nanohardness of the diluted magnetic semiconducting $Cd_{1-X}Mn_XS$ nano-crystalline films. In: Applied Surface Science, 253 (17). pp. 7318-7322.

Reddy, Sreekantha D and Reddy, Maheswara M and Rao, Narasimha K and Gunasekhar, KR and Reddy, Sreedhara P (2007) Structural and morphological properties of thermally evaporated $Zn_{1-x}Mn_xS$ nanocrystalline films. In: Journal of Optoelectronics and Advanced Materials, 9 (12). pp. 3743-3746.

Reddy, Sreekantha D and Reddy, Raja D and Reddy, Koteeswara N and Gunasekhar, KR and Vijayalakshmi, RP and Reddy, BK and Reddy, Sreedhara P (2007) Structural and photoluminescence properties of thermally evaporated $Cd_{1-x}Mn_xS$ nano-crystalline films. In: Solid State Communications, 142 (8). pp. 466-471.

Reddy, Subba MV and Rao, Ramachandra A and Sreenadh, S (2007) Peristaltic motion of a power-law fluid in an asymmetric channel. In: International Journal of Non-Linear Mechanics, 42 (10). pp. 1153-1161.

Reddy, Venkatarama BV and Lal, Richardson and Rao, Nanjunda KS (2007) Optimum Soil Grading for the Soil-Cement Blocks. In: Journal of Materials in Civil Engineering, 19 (2). pp. 139-148.

Reddy, Venkatarama BV and Lal, Richardson and Rao, Nanjunda KS (2007) Enhancing Bond Strength and Characteristics of Soil-Cement Block Masonry. In: Journal of Materials in Civil Engineering, 19 (2). pp. 164-172.

Ribolzi, Olivier and Karambiri, Harouna and Bariac, Thierry and Benedetti, Marc and Caquineaux, Sandrine and Descloitres, Marc and Aventurier, Alain (2007) Mechanisms affecting stormflow generation and solute behaviour in a Sahelian headwater catchment. In: Journal of Hydrology, 337 (1-2). pp. 104-116.

Rodriguez, JP and Taber, AB and Daszak, P and Sukumar, R and Valladares, Padua C and Padua, S and Aguirre, LF and Medellín, RA and Acosta, M and Aguirre, AA and Bonacic, C and Bordino, P and Bruschini, J and Buchori, D and González, S and Mathew, T and Méndez, M and Mugica, L and Pacheco, LF and Dobson, AP and Pearl, M (2007) Globalization of Conservation: A View from the South. In: Science, 317 (5839). pp. 755-756.

Rodriguez, JP and Taber, AB and Daszak, P and Sukumar, R and Valladares-Padua, C and Padua, S and Aguirre, LF and Medellin, RA and Acosta, M and Aguirre, AA and Bonacic, C and Bordino, P and Bruschini, J and Buchori, D and Gonzalez, S and Mathews, T and Mendez, M and Mugica, L and Dobson, AP and Pearl, M (2007) Global and local conservation priorities - Response. In: Science, 318 (5855).

Rodríguez, JP and Taber, AB and Daszak, P and Sukumar, R and Valladares-Padua, C and Padua, S and Medellín, RA and Acosta, M and Aguirre, AA and Bonacic, C and Bruschini, J and Buchori, D and Mendez, M and Mugica, L and Pacheco, LF and Dobson, AP and Pearl, M (2007) Environment-Globalization of conservation: a view from the south. In: Science, 317 (5839). pp. 755-756.

Rong, Hongbo and Tang, Zhizhong and Govindarajan, R and Douillet, Alban and Gao, Guang R (2007) Single-Dimension Software Pipelining for Multidimensional Loops. In: ACM Transactions on Architecture and Code Optimization (TACO), 4 (1). pp. 1-44.

Roy, Mithun and Patra, Ashis K and Mukherjee, Arindam and Nethaji, Munirathinam and Chakravarti, Akhil R (2007) Ternary iron(II) complexes of phenanthroline bases showing DNA binding and cleavage activity. In: Indian Journal of Chemistry Section A-Inorganic, Bio-inorganic, Physical, Theoretical & Analytical, 46 (2). pp. 227-237.

Roy, Gouriprasanna and Das, Debasis and Mugesh, G (2007) Bioinorganic chemistry aspects of the inhibition of thyroid hormone biosynthesis by anti-hyperthyroid drugs. In: Inorganica Chimica Acta, 360 (1). pp. 303-316.

Roy, Mithun and Pathak, Biswarup and Patra, Ashis K and Jemmis, Eluvathingal D and Nethaji, Munirathinam and Chakravarty, Akhil R (2007) New insights into the visible-light-induced DNA cleavage activity of dipyridoquinoxaline complexes of bivalent 3d-metal ions. In: Inorganic Chemistry, 46 (26). pp. 11122-11132.

Roy, Mithun and Saha, Sounik and Patra, Ashis K and Nethaji, Munirathinam and Chakravarty, Akhil R (2007) Ternary Iron(III) complex showing photocleavage of DNA in the photodynamic therapy window. In: Inorganic Chemistry, 46 (11). pp. 4368-4370.

Roy, Partha and Dhara, Koushik and Chakraborty, Jishnunil and Nethaji, Munirathinam and Banerjee, Pradyot (2007) Synthesis and crystal structure of an iron(II) dimeric complex. In: Indian Journal of Chemistry Section A: Inorganic, Bio-inorganic, Physical, Theoretical & Analytical, 46A (12). pp. 1947-1950.

Roy, S and Hegde, MS and Ravishankar, N and Madras, Giridhar (2007) Creation of redox adsorption sites by Pd2+ ion substitution in nanoTiO(2) for high photocatalytic activity of CO oxidation, NO reduction, and NO decomposition. In: Journal of Physical Chemistry C, 111 (23). pp. 8153-8160.

Roy, Satarupa and Setlur, Sunita and Gadkari, Rupali A and Krishnamurthy, HN and Dighe, Rajan R (2007) Translational fusion of two beta-subunits of human chorionic gonadotropin results in production of a novel antagonist of. In: Endocrinology, 148 (8). pp. 3977-3986.

Roy, Siddhartha and Saraswathi, Ramachandran and Gupta, Surbhi and Sekar, K and Chatterji, Dipankar and Vijayan, M (2007) Role of N and C-terminal Tails in DNA Binding and Assembly in Dps: Structural Studies of Mycobacterium smegmatis Dps Deletion Mutants. In: Journal of Molecular Biology, 370 (4). pp. 752-767.

Roy, Sounak and Aarthi, T and Hegde, MS and Madras, Giridhar (2007) Kinetics of photocatalytic reduction of NO by CO with Pd2+-Ion-Substituted Nano-TiO2. In: Industrial & Engineering Chemistry Research, 46 (17). pp. 5798-5802.

Roy, Sounak and Marimuthu, A and Hegde, MS and Madras, Giridhar (2007) High rates of CO and hydrocarbon oxidation and NO reduction by CO over $Ti_{0.99}Pd_{0.01}O_{1.99}$. In: Applied Catalysis B: Environmental, 73 (3-4). 300 -310.

Roy, Sounak and Marimuthu, A and Hegde, MS and Madras, Giridhar (2007) High rates of NO and $N_2O$ reduction by CO, CO and hydrocarbon oxidation by $O_2$ over nano crystalline $Ce_{0.98}Pd_{0.02}O_{2-\delta}$: Catalytic and kinetic studies. In: Applied Catalysis B: Environmental, 71 (1-2). pp. 23-31.

Roy Mahapatra, D and Ghosh, DP and Gopalakrishnan, S (2007) Multiscale finite element modelling of pattern formation in magnetostrictive composite thin film. In: International Journal for Multiscale Computational Engineering, 4 (3). pp. 337-349.

Sabareesh, V and Ranganayaki, RS and Raghothama, S and Bopanna, MP and Balaram, Hemalatha and Srinivasan, MC and Balaram, Padmanabhan (2007) Identification and characterization of a library of microheterogeneous cyclohexa-depsipeptides from the fungus. In: Journal of Natural Products, 70 (5). pp. 715-729.

Sabareesh, V and Ranganayaki, RS and Raghothama, Srinivasarao and Bopanna, MP and Balaram, Hemalatha and Srinivasan, MC and Balaram, P (2007) Identification and characterization of a library of microheterogeneous cyclohexadepsipeptides from the fungus Isaria. In: Journal of Natural Products, 70 (5). pp. 715-729.

Sadhale, Parag and Verma, Jiyoti and Naorem, Aruna (2007) Basal transcription machinery: role in regulation of stress response in eukaryotes. In: Journal of Biosciences, 32 (3). pp. 569-578.

Sagurthi, Someswar Rao and Panigrahi, Rashmi Rekha and Gowda, Giri and Savithri, HS and Murthy, MRN (2007) Cloning, expression, purification, crystallization and preliminary X-ray diffraction analysis of universal stress protein F (YnaF) from Salmonella typhimurium. In: Acta Crystallographica Section F: Structural Biology and Crystallization Communications, 63 (11). pp. 957-960.

Saha, Bidyut Baran and Chakraborty, Anutosh and Koyama, Shigeru and Srinivasan, Kandadai and Choon Ng, Kim and Dutta, Pradip (2007) Thermodynamic formalism of minimum heat source temperature for driving advanced adsorption cooling device. In: Applied Physic Letters, 91 (11). 111902-1-111902-3.

Saha, Kanak and Combes, Francoise and Jog, Chanda J (2007) Global lopsided instability in a purely stellar galactic disc. In: Monthly Notices of the Royal Astronomical Society, 382 (1). pp. 419-432.

Saha, Nilanjan and Roy, D (2007) The Girsanov Linearization Method for Stochastically Driven Nonlinear Oscillators. In: Journal of Applied Mechanics, 27 (5). pp. 885-897.

Saha, Nilanjan and Roy, D (2007) Higher order weak linearizations of stochastically driven nonlinear oscillators. In: Proceedings of the Royal Society A, 463 (2083). pp. 1827-1856.

Saha, S and Gadagkar, V and Maiti, PK and Muthu, DVS and Golberg, D and Tang, C and Zhi, C and Bando, Y and Sood, AK (2007) Irreversible pressure-induced transformation of boron nitride nanotubes. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 1810-1814.

Saha, Sougata and Datta, Krishnalekha and Rangarajan, Pundi (2007) Characterization of mouse neuronal Ca2+/calmodulin kinase II inhibitor alpha. In: Brain Research, 1148 . pp. 38-42.

Saha, Srijan Kumar and Waghmare, UV and Krishnamurthy, HR and Sood, AK (2007) Probing zone-boundary optical phonons in doped graphene. In: Physical Review B, 76 (20). pp. 201404-1.

Saha-Dasgupta, T and De, Raychaudhury M and Sarma, DD (2007) Ferromagnetism in metallic chalcospinels $CuCr_{2}S_{4}$ and $CuCr_{2}Se_{4}$. In: Physical Review B, 76 (5). 054441-1-054441-5.

Sahoo, Niranjan and Mahapatra, DR and Jagadeesh, G and Gopalakrishnan, S and Reddy, KPJ (2007) Design and analysis of a flat accelerometer-based force balance system for shock tunnel testing. In: Measurement, 40 (1). pp. 93-106.

Sahoo, Rabindra and Mishra, Sarada P and Kumar, Anil and Sindhu, S and Rao, Narasimha K and Gopal, ESR (2007) Novel high contrast electrochromic polymer materials based on 3,4-propylenedioxythiophene. In: Optical Materials, 30 (1). pp. 143-145.

Sahoo, Subrat K and Satish, L (2007) Discriminating changes introduced in the model for the winding of a transformer based on measurements. In: Electric Power Systems Research, 77 (7). 851 -858.

Sahu, AK and Selvarani, G and Pitchumani, S and Sridhar, P and Shukla, AK (2007) Ameliorating effect of silica addition in the anode-catalyst layer of the membrane electrode assemblies for polymer electrolyte fuel cells. In: Journal of Applied Electrochemistry, 37 (8). pp. 913-919.

Sahu, Jyoti Ranjan and Serrao, Claudy Rayan and Ray, Nirat and Waghmare, Umesh V and Rao, CNR (2007) Rare earth chromites:a new family of multiferroics. In: Journal of Materials Chemistry, 17 . pp. 42-44.

Sai, Phanindra T and Raychaudhuri, AK (2007) Adhesion behaviour of self-assembled alkanethiol monolayers on silver at different stages of growth. In: Journal of Physics D: Applied Physics, 40 (10). pp. 3182-3189.

Sai, Phanindra T and Raychaudhuri, AK (2007) Electric Field Directed Growth of Molecular Wires of Charge Transfer Molecules on Prefabricated Metal Electrodes. In: MRS Proceedings, 1058 . JJ05-03.

Sain, Trisha and Kishen, JM Chandra (2007) Prediction of fatigue strength in plain and reinforced concrete beams. In: ACI Structural Journal, 104 (5). pp. 621-628.

Sain, Trisha and Kishen, Chandra JM (2007) Energy-based equivalence between damage and fracture in concrete under fatigue. In: Engineering Fracture Mechanics, 74 (15). pp. 2320-2333.

Sain, Trisha and Kishen, Chandra JM (2007) Residual fatigue strength assessment of concrete considering tension softening behavior. In: International Journal of Fatigue, 29 (12). pp. 2138-2148.

Saini, S and Deb, BM (2007) A computational study of the interaction of [7]-helicene with alkali cations and benzene. In: Indian journal of Chemistry Section - A, 46 (1). pp. 9-15.

Sajeeb, R and Manohar, CS and Roy, D (2007) Use of particle filters in an active control algorithm for noisy nonlinear structural dynamical systems. In: Journal of Sound and Vibration, 306 (1-2). pp. 111-135.

Sajeeb, R and Roy, D and Manohar, CS (2007) Numerical aspects of a real-time sub-structuring technique in structural dynamics. In: International Journal for Numerical Methods in Engineering, 72 (11). pp. 1261-1313.

Sajitha, EP and Prasad, V and Subramanyam, SV and Mishra, Ajay Kumar and Sarkar, Subhajit and Bansal, Chandrahaas (2007) Size-dependent magnetic properties of iron carbide nanoparticles embedded in a carbon matrix. In: Journal of Physics: Condensed Matter, 19 (4).

Sajitha, EP and Prasad, V and Subramanyam, SV and Mishra, Ajay Kumar and Sarkar, Subhajit and Bansal, Chandrahaas (2007) Structural, magnetic and Mossbauer studies of iron inclusions in a carbon matrix. In: Journal of Magnetism and Magnetic Materials, 313 (2). pp. 329-336.

Sampoorna, M and Nagendra, KN and Stenflo, JO (2007) Hanle-Zeeman redistribution matrix. I. Classical theory expressions in the laboratory frame. In: Astrophysical Journal, The, 663 (1). pp. 625-642.

Samui, Pijush (2007) Application of Relevance Vector Machine in Seismic Attenuation Prediction. In: Journal of Earthquake and Tsunami (JET), 1 (4). pp. 299-309.

Samui, Pijush (2007) Seismic liquefaction potential assessment by using Relevance Vector Machine. In: Earthquake Engineering and Engineering Vibration, 6 (4). pp. 331-336.

Saranathan, Manojkumar and Ramanan, Venkat and Gulati, Rakesh and Verikatesan, Ramesh (2007) ANTHEM: anatomically tailored hexagonal MRI. In: Magnetic Resonance Imaging, 25 (7). pp. 1039-1047.

Saravanan, Matheshwaran and Vasu, Kommireddy and Ghosh, Soumitra and Nagaraja, Valakunja (2007) Dual Role for $Zn^{2+}$ in Maintaining Structural Integrity and Inducing DNA Sequence Specificity in a Promiscuous Endonuclease. In: Journal of Biological Chemistry, 282 (44). pp. 32320-32326.

Saravanan, Matheshwaran and Vasu, Kommireddy and Kanakaraj, Radhakrishnan and Rao, Desirazu N. and Nagaraja, Valakunja (2007) R.KpnI, an HNH superfamily REase, exhibits differential discrimination at non-canonical sequences in the presence of $Ca^{2+}$ and $Mg^{2+}$. In: Nucleic Acids Research, 35 (8). pp. 2777-2786.

Saravanan, S and Anantharaman, MR and Venkatachalam, S and Avasthi, DK (2007) Studies on the optical band gap and cluster size of the polyaniline thin films irradiated with swift heavy Si ions. In: Vacuum, 86 (1). pp. 56-60.

Sarkar, Jayanta and Raychaudhuri, AK (2007) Piezoresistivity in films of nanocrystalline manganites. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 2058-2062.

Sarkar, S and Gupta, GS (2007) Development of a Two-Dimensional Mathematical Model for Stress and Velocity Distribution in a Packed Bed. In: Metallurgical and Materials Transactions B, 38 (6). pp. 965-975.

Sarkar, Sabita and Gupta, Govind Sharan and Kitamura, Shin-ya (2007) Prediction of raceway shape and size. In: ISIJ International, 47 (12). pp. 1738-1744.

Sarkar, A and Krupanidhi, SB and Ranjith, R (2007) Nonlinear dielectric behavior in three-component ferroelectric superlattices. In: Journal of Applied Physics, 102 (2). 024108-024108.

Sarkar, Abhijit and Sonti, Venkata R (2007) Asymptotic analysis for the coupled wavenumbers in an infinite fluid-filled flexible cylindrical shell: The axisymmetric mode. In: Computer Modeling in Engineering and Sciences (CMES), 21 (3). pp. 193-207.

Sarkar, Abhijit and Sonti, Venkata R (2007) An asymptotic analysis for the coupled dispersion characteristics of a structural acoustic waveguide. In: Journal of Sound and Vibration, 306 (3-5). pp. 657-674.

Sarkar, Arindam and Nethaji, Munirathinam and Setharampattu, Krishnamurthy, S (2007) Structure and solution dynamics of a “P–N–P” ligand attached to calix[4]arene scaffold and its palladium dichloride complex. In: Polyhedron, 26 (14). pp. 3904-3910.

Sarkar, Asis and Krupanidhi, SB (2007) Ferroelectric interaction and polarization studies in $BaTiO_3/SrTiO_3$ superlattice. In: Journal of Applied Physics, 101 . pp. 104113-5.

Sarkar, Asis and Krupanidhi, SB (2007) Ferroelectric interaction and polarization studies in BaTiO3/SrTiO3 superlattice. In: Journal of Applied Physics, 101 (10). p. 104113.

Sarkar, Rudra P and Thangavelu, Sundaram (2007) An analogue of the Wiener Tauberian Theorem for the Heisenberg Motion group. In: Journal of the Indian Institute of Science, 87 (4).

Sarkar, Sankha S and Ghosh, Saurabh and Sood, AK (2007) Response time measurement in flow induced signal generation on semiconductors. In: Sensors and Actuators A: Physical, 137 (2). pp. 209-212.

Sarkar, Sunetra and Venkatraman, Kartik (2007) Period-Doubling Phenomenon Observed in the Dynamic Stall Vortex Patterns. In: AIAA Journal, 45 (7). pp. 1786-1791.

Sarma, DD and Ray, Sugata and Tanaka, K and Kobayashi, M and Fujimori, A and Sanyal, P and Krishnamurthy, HR and Dasgupta, C (2007) Intergranular Magnetoresistance in $Sr_2FeMoO_6$ from a Magnetic Tunnel Barrier Mechanism across Grain Boundaries. In: Physical Review Letters, 98 (15). 157205-1-157205-4.

Sarukkai, Sundar (2007) Mathematics, nature and cryptography: Insights from philosophy of information. In: Current Science (Bangalore), 92 (12). pp. 1690-1696.

Sarveswari, S and Raja, TK and Vijayaraghavan, R and Narasimhamurthy, T and Rathore, RS (2007) 3-Acetyl-4-hydroxyquinolin-2(1H)-one: resonance-assisted $O-H...O$ hydrogen bonding. In: Acta Crystallographica Section E Structure Reports Online, E63 . o4600-o4600.

Sasmal, Pijus K and Patra, Ashis K and Nethaji, Munirathinam and Chakravarty, Akhil R (2007) DNA cleavage by new oxovanadium(IV) complexes of N-Salicylidene \alpha -amino acids and phenanthroline bases in the photodynamic therapy window. In: Inorganic Chemistry, 46 (26). 11112 -11121.

Satapathya, S and Gupta, PK and Srivastava, Himanshu and Srivastava, A.K and Wadhawan, VK and Varma, K.B.R and Sathe, VG (2007) Effect of capping ligands on the synthesis and on the physical properties of the nanoparticles of $LiTaO_3$. In: Journal of Crystal Growth, 307 (1). pp. 185-191.

Satheesh, SK and Dutt, CBS and Srinivasan, J and Rao, UR (2007) Atmospheric warming due to dust absorption over Afro-Asian regions. In: Geophysical Research Letters, 34 (4). L04805-L04805.

Satheesh, K and Jagadeesh, G (2007) Effect of concentrated energy deposition on the aerodynamic drag of a blunt body in hypersonic flow. In: Physics of Fluids, 19 . 031701:1-4.

Satheesh, K and Jagadeesh, G and Reddy, KPJ (2007) High speed schlieren facility for visualization of flow fields in hypersonic shock tunnels. In: Current Science, 92 (1). pp. 56-60.

Satheesh, SK and Moorthy, Krishna K (2007) Multi-angle polarization imager: A satellite sensor for studies of continental aerosols. In: Current Science, 92 (2). pp. 174-176.

Satheesh, SK and Srinivasan, J and Vinoj, V and Chandran, S (2007) New Directions: Correspondence on "How representative are aerosol radiative impact assessments?". In: Atmospheric Environment, 41 (26). pp. 5536-5539.

Sathyapriya, R and Vishveshwara, Saraswathi (2007) Structure Networks of E. coli Glutaminyl-tRNA Synthetase: Effects of Ligand Binding. In: PROTEINS: Structure, Function, and Bioinformatics, 68 . pp. 541-550.

Satish, Nilima and Sultana, Sadika and Nanjundiah, Vidyanand (2007) Diversity of soil fungi in a tropical deciduous forest in Mudumalai, southern India. In: Current Science, 93 (5). pp. 669-677.

Satpati, Priyadarshi (2007) Dcab(2-) - Substituted manganese vinylidene complexes as better electron reservoirs: A DFT study. In: Organometallics, 26 (19). pp. 4771-4775.

Satpati, Priyadarshi and Sebastian, KL (2007) Tunneling of three borons in a $B_{12}$ cluster. In: Journal of Molecular Structure: THEOCHEM, 823 (1-3). pp. 74-77.

Scholtz, FG and Chakraborty, B and Govaerts, J and Vaidya, S (2007) Spectrum of the non-commutative spherical well. In: Journal of Physics A: Mathematical and Theoritical, 40 (48). pp. 14581-14592.

Schuh, Christopher A and Hufnagel, Todd C and Ramamurty, Upadrasta (2007) Overview No.144 - Mechanical behavior of amorphous alloys. In: Acta Materialia, 55 (12). pp. 4067-4109.

Schuh, Christopher A and Hufnagel, Todd C and Ramamurty, Upadrasta (2007) Mechanical behavior of amorphous alloys. In: Acta Materialia, 55 (12). pp. 4067-4109.

Selvaraj, M and Roy, S and Sangeetha, R and Varshney, Umesh and Vijayan, M (2007) Structural plasticity and enzyme action: Crystal structures of Mycobacterium tuberculosis peptidyl-tRNA hydrolase. In: Journal of Molecular Biology, 372 (1). pp. 186-193.

Selvaraj, M and Roy, S and Singh, NS and Sangeetha, R and Varshney, U and Vijayan, M (2007) Structural plasticity and enzyme action: Crystal structures of Mycobacterium tuberculosis peptidyl-tRNA hydrolase. In: Journal of Molecular Biology, 372 (1). pp. 186-193.

Selvaraj, M and Thamotharan, S and Roy, Siddhartha and Vijayan, M (2007) X-ray studies of crystalline complexes involving amino acids and peptides. XLIV. Invariant features of supramolecular association and chiral effects in the complexes of arginine and lysine with tartaric acid. In: Acta Crystallographica Section B: Structural Science, 63 (3). pp. 459-468.

Selvarani, G and Sahu, AK and Choudhury, NA and Sridhar, P and Pitchumani, S and Shukla, AK (2007) A phenyl-sulfonic acid anchored carbon-supported platinum catalyst for polymer electrolyte fuel cell electrodes. In: Electrochimica Acta, 52 (15). pp. 4871-4877.

Seminoff, Jeffrey A and Shanker, Kartik (2007) Marine turtles and IUCN Red Listing: A review of the process, the pitfalls, and novel assessment approaches. In: Journal of Experimental Marine Biology and Ecology, 356 (1-2). pp. 52-68.

Sen, Arnab and Damle, Kedar and Senthil, T (2007) Superfluid insulator transitions of hard-core bosons on the checkerboard lattice. In: Physical Review B, 76 (23). 235107-1-235107-11.

Sen, Diptiman and Surendran, Naveen (2007) Spin-1 chain with spin- \frac{1}{2} excitations in the bulk. In: Physical Review B: Condensed Matter and Materials Physics, 75 (10). pp. 104411-1.

Sen, Indrani and Tamirisakandala, S and Miracle, DB and Ramamurty, U (2007) Microstructural effects on the mechanical behavior of B-modified Ti–6Al–4V alloys. In: Acta Materialia, 55 (15). 4983 -4993.

Sengadir, T (2007) Semigroups on Frechet spaces and equations with infinite delays. In: Proceedings of the Indian Academy of Sciences - Mathematical Sciences, 117 (1). pp. 71-84.

Sengadir, T (2007) Semigroups on Frechet spaces and equations with infinite delays. In: Proceedings of the Indian Academy of Sciences - Mathematical Sciences, 117 (1). pp. 71-84.

Sengupta, Oindrila and Chakrabarty, Rajesh and Mukherjee, Partha Sarathi (2007) Dual role of azide in the formation of a 3D coordination polymer containing bridging 5-pyrimidinecarboxylate. In: Dalton Transactions, 40 . 4514 -4516.

Senugupta, Debasis and Senan, Retish and Goswami, BN and Vialard, Jerome (2007) Intraseasonal Variability of Equatorial Indian Ocean Zonal Currents. In: Journal of Climate, 20 (13). pp. 3036-3055.

Setlur, Sunita R and Dighe, Rajan R (2007) Single chain human chorionic gonadotropin, $hCG\alpha \beta$: Effects of mutations in the \alpha subunit on structure and bioactivity. In: Glycoconjugate Journal, 24 (1). pp. 97-106.

Shafikov, Rasul and Verma, Kausha (2007) Extension of holomorphic maps between real hypersurfaces of different dimension. In: Annales de l'institut Fourier, 57 (6). pp. 2063-2080.

Shah, Shesha and Sastry, PS (2007) A feedback-based algorithm forMotion analysis with application to object tracking. In: EURASIP Journal on Applied Signal Processing, 2007 (1). 121 -121.

Shaiju, AJ and Dharmatti, Sheetal (2007) Infinite dimensional differential games with hybrid controls. In: Proceedings of Indian Academy of Sciences- Mathematical Sciences, 117 (2). pp. 233-257.

Shaila, Melkote S and Nayak, Rabindranath and Prakash, Savitha S and Georgousakis, Melina and Brandt, Evelyn and McMillan, David J and Batzloff, Michael R and Pruksakorn, Sumalee and Good, Michael F and Sriprakash, Kadaba S (2007) Comparative in silico analysis of two vaccine candidates for group A streptococcus predicts that they both may have similar safety profiles. In: Vaccine, 25 (18). pp. 3567-3573.

Shajahan, TK and Sinha, Sitabhra and Pandit, Rahul (2007) Spiral-wave dynamics depend sensitively on inhomogeneities in mathematical models of ventricular tissue. In: Physical Review E, 75 (1). 011929:1-8.

Shakkottai, Srinivas and Altman, Eitan and Kumar, Anurag (2007) Multihoming of Users to Access Points in WLANs: A Population Game Perspective. In: IEEE Journal on Slected Areas in Communications, 25 (6). pp. 1207-1215.

Shamala, KS and Murthy, LCS and Radhakrishna, MC and Rao, Narasimha K (2007) Characterization of $Al_2O_3$ thin films prepared by spray pyrolysis method for humidity sensor. In: Sensors and Actuators A: Physical, 135 (2). pp. 552-557.

Shankar, Amitabh and Görnerup, Mårten and Lahiri, AK and Seetharaman, S (2007) Experimental Investigation of the Viscosities in $CaO-SiO_2-MgO-Al_2O_3$ and $CaO-SiO_2-MgO-Al_2O_3-TiO_2$ Slags. In: Metallurgical and Materials Transactions B, 38 (6). pp. 911-915.

Shankar, A and Gornerup, M and Lahiri, AK and Seetharaman, S (2007) Estimation of viscosity for blast furnace type slags. In: Ironmaking & Steelmaking, 34 (6). pp. 477-481.

Shankar, BA Gowri and Sarani, R and Michael, Daliah and Mridula, P and Ranjani, C Vasum and Sowmiya, G and Vasundhar, B and Sudha, P and Jeyakanthan, J and Velmurugan, D and Sekar, K (2007) Ion pairs in non-redundant protein structures. In: Journal of Biosciences, 32 (4). pp. 693-704.

Shariff, Arshia and Manna, PK and Paranjothy, KLK and Manjula, M (2007) Entrapment of Andrographolide in Cross- Linked Aliginate Pellets: II. Physicochemical Characterization to Study the Pelletization of Andrographolide. In: Pakistan Journal of Pharmaceutical Sciences, 20 (1). pp. 9-15.

Shariff, Arshia and Manna, PK and Paranjothy, KLK and Manjula, M (2007) Entrapment of Andrographolide in Cross-Linked Alginate Pellets: I. Formulation and Evaluation of Associated Release kinetics. In: Pakistan Journal of Pharmaceutical Sciences, 20 (1). pp. 1-9.

Sharma, Rakesh K and Samuelson, Ashoka G (2007) Asymmetric allylation of aldehydes with chiral platinum phosphinite complexes. In: Tetrahedron: Asymmetry, 18 (20). pp. 2387-2393.

Sharma, Shailendra Kumar and Parasuraman, Prasanna and Kumar, Gyanendra and Surolia, Namita and Surolia, Avadhesha (2007) Green Tea Catechins Potentiate Triclosan Binding to Enoyl-ACP Reductase from Plasmodium falciparum (PfENR). In: Journal of Medicinal Chemistry, 50 (4). pp. 765-775.

Sharma, Shilpi and Sharma, Shailendra Kumar and Modak, Rahul and Karmodiya, Krishanpal and Surolia, Namita and Surolia, Avadhesha (2007) Mass Spectrometry-Based Systems Approach for Identification of Inhibitors of Plasmodium falciparum Fatty Acid Synthase{triangledown}. In: Antimicrobial Agents and Chemotherapy, 51 (7). pp. 2552-2558.

Shashidhar, * and Shivakumar, K and Reddy, Vithal P and Halli, MB (2007) Synthesis and spectroscopic characterization of metal complexes with naphthofuran-2-carbohydrazide Schiff's base. In: Journal of Coordination Chemistry, 60 (3). 243 -256.

Shaw, Amit and Roy, D (2007) Improved procedures for static and dynamic analyses of wrinkled membranes. In: Journal of Applied Mechanics, 74 (3). 590 -594.

Shaw, Amit and Roy, D (2007) A Novel Form of Reproducing Kernel Interpolation Method with Applications to Nonlinear Mechanics. In: CMES- Computer Modeling in Engineering & Sciences, 19 (1). pp. 69-98.

Shaw, Amit and Roy, D (2007) Analyses of wrinkled and slack membranes through an error reproducing mesh-free method. In: International Journal of Solids and Structures, 44 (11-12). pp. 3939-3972.

Shaw, Amit and Roy, D (2007) A NURBS-based error reproducing kernel method with applications in solid mechanics. In: Computational Mechanics, 40 (1). pp. 127-148.

Shaw, Amit and Roy, D and Reid, SR and Aleyaasin, M (2007) Reproducing kernel collocation method applied to the non-linear dynamics of pipe whip in a plane. In: International Journal of Impact Engineering, 34 (10). pp. 1637-1654.

Shekhar, S and Prasad, V and Subramanyam, SV (2007) Electron-electron interaction in percolative network of polymer-amorphous carbon composites. In: Physics Letters A, 361 (6). pp. 500-503.

Shekhar, S and Prasad, V and Subramanyam, SV (2007) Electronâ-electron interaction in percolative network of polymerâ-amorphous carbon composites. In: Physics Letters A . pp. 500-503.

Shekhar, S and Prasad, V and Subramanyam, SV (2007) Quantum interference effect in strongly localized system of polymer-nanocomposites. In: Physics Letters A, 371 (5-6). pp. 486-489.

Shenoy, Avinash R and Capuder, Maja and Draskovic, Petra and Lamba, Doriano and Visweswariah, Sandhya S and Podobnik, Marjetka (2007) Structural and Biochemical Analysis of the Rv0805 Cyclic Nucleotide Phosphodiesterase from Mycobacterium tuberculosis. In: Journal of Molecular Biology, 365 (1). pp. 211-225.

Shenoy, Vijay B and Gupta, Tribikram and Krishnamurthy, HR and Ramakrishnan, TV (2007) Coulomb Interactions and Nanoscale Electronic Inhomogeneities in Manganites. In: Physical Review Letters, 98 (9). 097201:1 -4.

Shivakumar, K and Shashidhar, S and Halli, MB (2007) A Potentiometric Investigation of Complex Formation between Some Metal Ions and Biologically Active Quinazoline-4-3(H)-one Schiff’s Base. In: Russian Journal of Physical Chemistry A, 81 (10). pp. 1681-1687.

Shivakumara, Chikkadasappa and Bellakki, Manjunath B and Prakash, Annigere S (2007) Rapid Synthesis of Ferromagnetic $La_{1-x}Na_xMnO_3$ $(0.00\leq x\leq 0.25)$ by the Solution Combustion Method. In: Journal of the American Ceramic Society, 90 (12). pp. 3852-3858.

Shivaleela, ES and Srinivas, T (2007) Construction of Wavelength/Time Codes for Fiber-Optic CDMA Networks. In: IEEE Journal of Selected Topics in Quantum Electronics, 13 (5). pp. 1370-1377.

Shri Prakash, B and Varma, KBR (2007) The influence of the segregation of Cu-rich phase on the microstructural and impedance characteristics of $CaCu_3Ti_4O_{12}$ ceramics. In: Journal of Materials Science, 42 (17). pp. 7467-7477.

Shukla, S and Broome, VG (2007) First report of the brown widow spider, Latrodectus geometricus C. L. Koch (Araneae: Theridiidae) from India. In: Current Science, 93 (6). pp. 775-777.

Siegert, Christoph and Ghosh, Arindam and Pepper, Michael and Farrer, Ian and Ritchie, David A (2007) The possibility of an intrinsic spin lattice in high-mobility semiconductor heterostructures. In: Nature Physics, 3 (5). pp. 315-318.

Simanshu, Dhirendra K and Chittori, Sagar and Savithri, HS and Murthy, MRN (2007) Structure and function of enzymes involved in the anaerobic degradation of L-threonine to propionate. In: Journal of Biosciences, 32 (2). pp. 1195-1206.

Singh, Anuj Pratap and Mani, V and Ganguli, Ranjan (2007) Genetic programming metamodel for rotating beams. In: Computer Modeling in Engineering and Sciences (CMES), 21 (2). pp. 133-148.

Singh, Desh Deepak and Chandran, Divya and Jeyakani, Justin and Chandra, Nagasuma (2007) Scanning the genome of Mycobacterium tuberculosis to identify potential lectins. In: Protein and Peptide Letters, 14 (7). pp. 683-691.

Singh, AK and Apte, Deepak (2007) Modelling and Analysis of Hysteresis in Piezoelectric Actuator. In: Defence Science Journal, 56 (5). pp. 823-833.

Singh, Amit and Singh, Madhuri Kango and Parthasarathy, R and Gopinathan, KP (2007) Larval legs of mulberry silkworm Bombyx mori are prototypes for the adult legs. In: Genesis, 45 (4). pp. 169-176.

Singh, Amrita and Ghosh, Arindam and Singh, Amrita and Singh, Amrita (2007) Tailoring of Structural Morphology of Silver Nanowires in Electrochemical Growth. In: MRS Proceedings, 1058 .

Singh, MP and Shripathi, T and Shalini, K and Shivashankar, SA (2007) Low pressure MOCVD of $Er_2O_3$ and $Gd_2O_3$ films. In: Materials Chemistry and Physics, 105 (2-3). pp. 433-441.

Singh, S and Krupanidhi, SB (2007) Synthesis and structural characterization of the antiferroelectric lead zirconate nanotubes by pulsed laser deposition. In: Applied Physics A: Materials Science and Processing, 87 (1). pp. 27-30.

Singh, Sunanda R and Pillai, Beena and Balakrishnan, Bindu and Naorem, Aruna and Sadhale, Parag P (2007) Relative levels of RNA polII subunits differentially affect starvation response in budding yeast. In: Biochemical and Biophysical Research Communications, 356 (1). pp. 266-272.

Singh, Varsha and Gupta, GS and Sarkar, S (2007) Study of gas cavity size hysteresis in a packed bed using DEM. In: Chemical Engineering Science, 62 (22). pp. 6102-6111.

Singh, Vijay Raj and Miao, Jianmin and Wang, Zhihong and Hegde, Gopalkrishna and Asundi, Anand (2007) Dynamic characterization of MEMS diaphragm using time averaged in-line digital holography. In: Optics Communications, 280 (2). pp. 285-290.

Singh, Vijender and Satheesh, Somisetty V and Raghavendra, Mysore L and Sadhale, Parag P (2007) The key enzyme in galactose metabolism, UDP-galactose-4-epimerase, affects cell-wall integrity and morphology in Candida albicans even in the absence of galactose. In: Fungal Genetics and Biology, 44 (6). pp. 563-574.

Sinha, A and Ghose, D (2007) Control of Multiagent Systems Using Linear Cyclic Pursuit With Heterogenous Controller Gains. In: Journal of Dynamic Systems, Measurement, and Control, 129 (5). pp. 742-748.

Sinha, A and Ghose, D (2007) Generalization of nonlinear cyclic pursuit. In: Automatica, 43 (11). pp. 1954-1960.

Sinha, KP and Meulenberg, A (2007) Lochon Catalyzed D-D Fusion in Deuterated Palladium in the Solid State. In: National Academy of Science Letters - India, 30 (7-8). pp. 243-245.

Sinha, Sharmistha and Gupta, Garima and Vijayan, Mamannamana and Surolia, Avadhesha (2007) Subunit assembly of plant lectins. In: Current Opinion in Structural Biology, 17 (5). pp. 498-505.

Sinha, Sharmistha and Surolia, Avadhesha (2007) Attributes of Glycosylation in the Establishment of the Unfolding Pathway of Soybean Agglutinin. In: Biophysical Journal, 92 (1). pp. 208-216.

Sivakumar, Vilvanathan and Nethaji, Munirathinam and Jagirdar, Balaji R and Mathew, Nisha (2007) Synthesis and Characterization of New Dicationic Dihydrogen Complexes of Ruthenium. In: Synthesis and Reactivity in Inorganic, Metal-Organic, and Nano-Metal Chemistry, 37 (9). pp. 677-684.

Sivapriya, Kirubakaran and Suguna, Perumal and Banerjee, Arun and Saravanan, Vadivelu and Rao, Desirazu N and Chandrasekaran, Srinivasan (2007) Facile one-pot synthesis of thio and selenourea derivatives: A new class of potent urease inhibitors. In: Bioorganic & Medicinal Chemistry Letters, 17 (22). pp. 6387-6391.

Sivapriya, Kirubakaran and Suguna, Perumal and Chandrasekaran, Srinivasan (2007) Novel cyclic tetraselenides of mannose: synthesis and mechanistic studies. In: Tetrahedron Letters, 48 (12). pp. 2091-2095.

Sivapriya, Kirubakaran and Suguna, Perumal and Shubashree, S and Sridhar, Perali Ramu and Chandrasekaran, Srinivasan (2007) Novel chalcogenides of thymidine and uridine: synthesis, properties and applications. In: Carbohydrate Research, 342 (9). pp. 1151-1158.

Sivapullaiah, PV (2007) Induced swelling of kaolinitic soil in alkali solution. In: Soils and Foundations, 47 (1). 59 -66.

Sivapullaiah, PV and Prakash, Nagendra BS (2007) Electroosmotic flow behaviour of metal contaminated expansive soil. In: Journal of Hazardous Materials, 143 (3). pp. 682-689.

Sivasankar, Chinnappan and Sadhukhan, Nabanita and Bera, Jitendra K and Samuelson, Ashoka G (2007) Is copper(I) hard or soft? A density functional study of mixed ligand complexes. In: New Journal of Chemistry, 31 (3). pp. 385-393.

Skrotzki, W and Scheerbaum, N and Oertel, C-G and Brokmeier, H-G and Suwas, S and To'th, LS (2007) Recrystallization of high-purity aluminium during equal channel angular pressing. In: Acta Materialia, 55 (7). pp. 2211-2218.

Skrotzki, Werner and Scheerbaum, Nils and Oertel, Carl Georg and Arruffat-Massion, Roxane and Suwas, Satyam and Toth, Laszlo S (2007) Microstructure and texture gradient in copper deformed by equal channel angular pressing. In: Acta Materialia, 55 (6). pp. 2013-2024.

Slvapriya, K and Hariharaputran, S and Suhas, VL and Chandra, N and Chandrasekaran, Srinivasan (2007) Conformationally locked thiosugars alpha-mannosidase inhibitors: Synthesis, and docking studies as potent biochemical. In: Bioorganic & Medicinal Chemistry, 15 (17). pp. 5659-5665.

Somanathan, Hema and Mali, Subhash and Borges, Renee M (2007) Arboreal larder-hoarding in the tropical Indian giant squirrel Ratufa indica. In: Ecoscience, 14 (2). pp. 165-169.

Soni, GV and Brar, Loveleen and Hameed, Feroz M and Raychaudhuri, AK and Shivashankar, GV (2007) Distinct levels in the nanoscale organization of DNA-histone complex revealed by its mechanical unfolding. In: Applied Physics Letters, 90 . 163904-1-3.

Soos, ZG and Mukhopadhyay, S and Ramasesha, S (2007) Polar organic films: Transport gap, charge–dipole interaction and electroluminescence of tritolylamine (TTA) derivatives. In: Chemical Physics Letters, 442 (4-6). pp. 285-288.

Soundara Raghavan, Pavithra and Kumar, Ranjit and Tatu, Utpal (2007) Systems Analysis of Chaperone Networks in the Malarial Parasite Plasmodium falciparum. In: PLoS Computational Biology, 3 (9). pp. 1701-1715.

Sreekantha, * and Subashchandran, MD and Mesta, DK and Rao, GR and Gururaja, KV and Ramachandra, TV (2007) Fish diversity in relation to landscape and vegetation in central Western Ghats, India. In: Current Science, 92 (11). pp. 1592-1603.

Sriharsha, Shimoga Nagaraj and Pai, Karkala Sreedhara Ranganath and Shashikanth, Sheena and Chandra, Nagasuma and Prabhu, Kandigere Ramaiah (2007) Synthesis, Docking and Anti-Tumor Activity of \beta-L-1,3-Thiazolidine Pyrimidine Nucleoside Analogues. In: Medicinal Chemistry, 3 (5). pp. 425-432.

Srikrishna, A and Babu, Ramesh R and Ravikumar, PC (2007) A Regioselective Total Synthesis of the Fungal Sesquiterpene $(\pm)$-Lagopodin A. In: Synlett (4). pp. 655-657.

Srikrishna, A and Beeraiah, B (2007) Enantiospecific synthesis of the complete carbon framework of the diterpenes komarovispiranes. In: Indian Journal of Chemistry Section B: Organic Chemistry including Medicinal Chemistry, 46 (12). pp. 1999-2003.

Srikrishna, A and Kumar, Ratna S and Ravikumar, PC (2007) Synthesis of $(\pm)$-12-Methoxyherbertenediol Dimethyl Ether. In: Synthetic Communications, 37 (23). pp. 4123-4140.

Srikrishna, A and Reddy, Jagadeeswara T and Kumar, Praveen P (2007) Exploratory Studies Towards AB-Ring System of Taxanes via Intramolecular Alkylation Reaction. Formation of Bicyclo[2.2.2]octanones in Preference to Bicyclo[5.3.1]undecanes. In: Indian journal of chemistry. Sect. B: Organic chemistry, including medical chemistry, 46 (9). pp. 1518-1525.

Srikrishna, A and Satyanarayana, G and Desai, Uday V (2007) Efficient Approach to 4-Benzyl-5,5-dimethyldihydrofuranones: Total Synthesis of ($\pm$_bold;)-Solafuranone. In: Synthetic Communications, 37 (6). pp. 965-976.

Srikrishna, A and Satyanarayana, G and Prasad, KR (2007) RCM-Based Approach to $(\pm)$-Cuparene. In: Synthetic Communications, 37 (9). pp. 1511-1516.

Srikrishna, A and Babu, Ramesh R (2007) Total syntheses of (\pm)-\alpha-acorenol, \beta-acorenol, \alpha-epi-acorenol and \beta-epi-acorenol via an Ireland ester Claisen rearrangement and RCM reaction sequence. In: Tetrahedron Letters, 48 (39). pp. 6916-6919.

Srikrishna, A and Beeraiah, B (2007) Synthesis of (±)‐ar‐Macrocarpene. In: Synthetic Communications: An International Journal for Rapid Communication of Synthetic Organic Chemistry, 37 (17). 2855 - 2860.

Srikrishna, A and Beeraiah, B (2007) Synthetic approaches to komarovispiranes. Enantiospecific synthesis of bicyclo[3.3.0]octanespiro[3.1′]cyclohexanes. In: Tetrahedron Letters, 48 (13). pp. 2291-2294.

Srikrishna, A and Khan, IA and Babu, Ramesh R and Sajjanshetty, A (2007) The first total synthesis of (±)-laurokamurene B. In: Tetrahedron, 63 (51). pp. 12616-12620.

Srikrishna, A and Lakshmi, BV and Sudhakar, AVS (2007) Pyridinium chlorochromate mediated oxidative cyclisation of sterically crowded \gamma,\delta -unsaturated alcohols. In: Tetrahedron Letters, 48 (43). pp. 7610-7613.

Srikrishna, A and Pardeshi, Vijendra H and Satyanarayana, G (2007) A rapid enantiospecific synthesis of the (6,6,5)-tricyclic ring system of the elisabethane diterpenes. In: Tetrahedron Letters, 48 (23). pp. 4087-4090.

Srikrishna, A and Ravi, G and Satyanarayana, G (2007) The first enantiospecific total synthesis of (+)-seychellene. In: Tetrahedron Letters, 48 (1). pp. 73-76.

Srikrishna, Adusumilli and Beeraiah, B (2007) An enantiospecific synthesis of a komarovispirane. In: Tetrahedron: Asymmetry, 18 (21). pp. 2587-2597.

Srikrishna, Adusumilli and Gowri, Vijayendran (2007) Synthetic approaches to neorogiolanes: enantiospecific synthesis of 12-methoxyneorogiola-1,3,5,7(17),8-pentaene. In: Tetrahedron: Asymmetry, 18 (14). pp. 1663-1666.

Srinath, Thiruneelakantan and Bharti, Sanjay Kumar and Varshney, Umesh (2007) Substrate specificities and functional characterization of a thermo-tolerant uracil DNA glycosylase (UdgB) from Mycobacterium tuberculosis. In: DNA Repair, 6 (10). pp. 1517-1528.

Srinivas, Kalyan V and Jain, Rishabh and Saurav, Subit and Sikdar, Sujit K (2007) Small-world network topology of hippocampal neuronal network is lost, in an in vitro glutamate injury model of epilepsy. In: European Journal of Neuroscience, 25 (11). pp. 3276-3286.

Srinivas, Arun and Bhat, GS and Narasimha, Roddam (2007) Dynamic Eduction Of Coherent Structures In Turbulent Jet Flow Imagery By Wavelet Techniques: Part I. In: Journal of Turbulence, 8 (15). pp. 1-14.

Srinivasa, KG and Venugopal, KR and Patnaik, LM (2007) Selective dissemination of XML documents based on genetically learned user model and Support Vector Machines. In: Intelligent Data Analysis, 11 (5). pp. 481-496.

Srinivasa, KG and Sharath, S and Venugopal, KR and Patnaik, Lalit M (2007) Selective dissemination of XML documents based on genetically learned user model and Support Vector Machines. In: Intelligent Data Analysis, 11 (5). 481 -496.

Srinivasa, KG and Venugopal, KR and Patnaik, LM (2007) A self-adaptive migration model genetic algorithm for data mining applications. In: Information Sciences, 117 (20). pp. 4295-4313.

Srinivasan, Ramanujam and Ajitkumar, Parthasarathi (2007) Bacterial cell division protein FtsZ is stable against degradation by AAA family protease FtsH in Escherichia coli cells. In: Journal of Basic Microbiology, 47 (3). pp. 251-259.

Srinivasan, AD and Rajanikanth, BS (2007) Nonthermal-Plasma-Promoted Catalysis for the Removal of NOx From a Stationary Diesel-Engine Exhaust. In: IEEE Transactions on Industry Applications, 43 (6). pp. 1507-1514.

Srinivasan, J and Joshi, PC (2007) What have we learned about the Indian monsoon from satellite data? In: Current Science, 93 (2). pp. 165-172.

Srinivasan, N and Sowdhamini, R and Bhattacharya, Alok (2007) Computational biology: More than just a set of techniques. In: Journal of Biosciences, 32 (1). pp. 1-2.

Srinivasan, Ramanujam and Rajeswari, Haryadi and Bhatt, Brijesh Narayan and Indi, Shantinath and Ajitkumar, Parthasarathi (2007) GTP/GDP binding stabilizes bacterial cell division protein FtsZ against degradation by FtsH protease in vitro. In: Biochemical and Biophysical Research Communications, 357 (1). pp. 38-43.

Sriram, Dharmarajan and Senthilkumar, Palaniappan and Dinakaran, Murugesan and Yogeeswari, Perumal and China, Arnab and Nagaraja, Valakunja (2007) Antimycobacterial Activities of Novel 1-(Cyclopropyl/tert-butyl/4-fluorophenyl)-1,4-dihydro-6-nitro-4-oxo-7-(substituted secondary amino)-1,8-naphthyridine-3-carboxylic Acid. In: Journal of Medicinal Chemistry, 50 (24). pp. 6232-6239.

Srivastava, S and Kumar, Joydeep Basu (2007) Influence of nanoparticles on glass transition of polymers. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 2101-2104.

Srivastava, S and Basu, JK (2007) Experimental Evidence for a New Parameter to Control the Glass Transition of Confined Polymers. In: Physical Review Letters, 98 (16). 165701-1-165701-4.

Staadt, Oliver G and Natarajan, Vijay and Weber, Gunther H and Wiley, David F and Hamann, Bernd (2007) Interactive processing and visualization of image data for biomedical and life science applications. In: BMC Cell Biology, 8 (Suppl ). pp. 1-13.

Subhashini, SV and Takhar, HS and Nath, G (2007) Non-uniform mass transfer or wall enthalpy into a compressible flow over a rotating sphere. In: Heat Mass Transfer, 43 (11). pp. 1133-1141.

Subhashri, R and Shaila, MS (2007) Characterization of membrane association of Rinderpest virus matrix protein. In: Biochemical and Biophysical Research Communications, 355 (4). pp. 1096-1101.

Subrahmanya, Bala MH (2007) The process of technological innovations in small enterprises: the Indian way. In: International Journal of Technology Management, 39 (3-4). pp. 396-411.

Subramanian, S and Sampath, S (2007) Adsorption of Zein on Surfaces with Controlled Wettability and Thermal Stability of Adsorbed Zein Films. In: Biomacromolecules, 8 (7). pp. 2120-2128.

Subramanian, S and Sampath, S (2007) Dewetting phenomenon: Interfacial water structure at well-organized alkanethiol-modified gold–aqueous interface. In: Journal of Colloid and Interface Science, 313 (1). pp. 64-71.

Subramanian, S and Sampath, S (2007) Enhanced stability of short- and long-chain diselenide self-assembled monolayers on gold probed by electrochemistry, spectroscopy, and microscopy. In: Journal of Colloid and Interface Science, 312 (2). pp. 413-424.

Subramanian, S and Sampath, S (2007) Enhanced thermal stability and structural ordering in short chain n-alkanethiol monolayers on gold probed by vibrational spectroscopy and EQCM. In: Analytical and Bioanalytical Chemistry, 388 (1). pp. 135-145.

Subramanya, HY and Viswanath, S and Narasimhan, R (2007) A three-dimensional numerical study of mode I crack tip fields in pressure sensitive plastic solids. In: International Journal of Solids and Structures, 44 (6). pp. 1863-1879.

Sudhira, HS and Ramachandra, TV and Subrahmanya, Bala MH (2007) City Profile Bangalore. In: Cities, 24 (5). pp. 379-390.

Sumithra, S and Waghmare, UV and Umarji, AM (2007) Anomalous dynamical charges, phonons, and the origin of negative thermal expansion in Y2W3O12. In: Physical Review B: Condensed Matter and Materials Physics, 76 (2).

Sundaresan, Rajesh (2007) Guessing Under Source Uncertainty. In: IEEE Transactions on Information Theory, 53 (1). pp. 269-287.

Suni, V and Kurup, Maliyeckal R Prathapachandra and Nethaji, Munirathinam (2007) Structural and spectral investigations on some new Ni(II) complexes of di-2-pyridyl ketone N(4)-phenylthiosemicarbazone. In: Polyhedron, 26 (13). pp. 3097-3102.

Suni, V and Kurup, Prathapachandra MR and Nethaji, Munirathinam (2007) Studies on Co(II) and Co(III) complexes of di-2-pyridyl ketone N(4)-cyclohexyl and N(4)-phenyl thiosemicarbazones. In: Polyhedron, 26 (18). pp. 5203-5209.

Suni, V and KurupMaliyeckal, Prathapachandra R and Nethaji, Munirathinam (2007) Structural and spectral investigations on some new Ni(II) complexes of di-2-pyridyl ketone N(4)-phenylthiosemicarbazone. In: Polyheadson, 26 (13). pp. 3097-3102.

Surendran, KP and Mani, Rohini and Gopalakrishnan, J and Ramanujachary, KV and Lofland, SE and Green, William L (2007) $R_3Mn_{1.5}CuV_{0.5}O_9$ (R = Y, Ho, Er, Tm, Yb and Lu) and $Lu_3Mn_{3-3x}Cu_{2x}V_xO_9$: New noncentrosymmetric oxides related to $YMnO_3$. In: Materials Research Bulletin, 42 (4). pp. 618-625.

Surendran, KP and Mani, Rohini and Gopalakrishnan, Jagannatha and Ramanujachary, K.V and Lofland, SE and Green, William L (2007) R3Mn1.5CuV0.5O9 (R = Y, Ho, Er, Tm, Yb and Lu) and Lu3Mn3-3xCu2xVxO9: New noncentro symmetric oxides related to YMnO3. In: Materials Research Bulletin, 42 (4). pp. 618-625.

Suresh, N and Ramamurty, U (2007) Effect of aging on mechanical behavior of single crystal Cu–Al–Ni shape memory alloys. In: Materials Science and Engineering: A, 454 . pp. 492-499.

Suresh, R and Venkataraman, N and Vasudevan, S and Ramanathan, KV (2007) Conformational Mobility in Alkyl-Chains of an Anchored Bilayer. In: Journal of Physical Chemistry C, 111 (2). pp. 495-500.

Suresha, SJ and Gunda, R and Jayarama, V and Biswas, SK (2007) Effect of residual stress on the fracture strength of columnar TiN films. In: Journal of Material Research, 22 (12). pp. 3501-3506.

Suresha, SJ and Math, S and Jayaram, V and Biswas, SK (2007) Toughening through multilayering in TiN–AlTiN films. In: Philosophical Magazine, 87 (17). pp. 2521-2539.

Sureshkumar, Devarajulu and Ganesh, Venkataraman and Chandrasekaran, Srinivasan (2007) Conformationally Locked Bridged Bicyclic Diselenides: Synthesis, Structure, $Se^{...}O$ Interaction, and Theoretical Studies. In: Journal of Organic Chemistry, 72 (14). pp. 5313-5319.

Sureshkumar, Devarajulu and Gunasundari, Thanikachalam and Ganesh, Venkataraman and Chandrasekaran, Srinivasan (2007) Regio- and Stereospecific Synthesis of $\beta$-Sulfonamidodisulfides and $\beta$-Sulfonamidosulfides from Aziridines using Tetrathiomolybdate as a Sulfur Transfer Reagent. In: Journal of Organic Chemistry, 72 (6). pp. 2106-2117.

Sureshkumar, Devarajulu and Gunasundari, Thanikachalam and Saravanan, Vadivelu and Chandrasekaran, Srinivasan (2007) Tetraselenotungstate: an efficient selenating reagent for the synthesis of $_\beta$-amino diselenides by aziridine ring opening reactions. In: Tetrahedron Letters, 48 (4). pp. 623-626.

Sureshkumar, Devarajulu and Koutha, Srinivasamurthy and Chandrasekaran, Srinivasan (2007) A New Selena-Aza-Payne-Type Rearrangement of Aziridinylmethyl Tosylates Mediated by Tetraselenotungstate. In: European Journal of Organic Chemistry, 2007 (27). pp. 4543-4551.

Suryavanshi, Gajendra W and Dixit, Narendra M (2007) Emergence of Recombinant Forms of HIV: Dynamics and Scaling. In: PLoS Computational Biology, 3 (10). pp. 2003-2018.

Suwas, Satyam and Gottstein, G and Kumar, R (2007) Evolution of Crystallographic Texture during equal channel Angular Extrusion (ECAE) and its effects on secondary processing of magnesium. In: Materials Science and Engineering: A, 471 (1-2). pp. 1-14.

Swain, Diptikanta and Guru Row, Tayur N (2007) Structure, Ionic Conduction and Dielectric Relaxation in a Novel Fast Ion Conductor, $Na_2Cd(SO4_)_2$. In: Chemistry of Materials, 19 (3). pp. 347-349.

Swarnamukhi, Pidugu L and Sharma, Shailendra K and Padala, Prasanth and Surolia, Namita and Surolia, Avadhesha and Suguna, Kaza (2007) Packing and loop-structure variations in non-isomorphous crystals of FabZ from Plasmodium falciparum. In: Acta Crystallographica Section D Biological Crystallography, 63 (4). pp. 458-464.

Swathi, RS and Sebastian, KL (2007) Resonance energy transfer from a fluorescent dye molecule to plasmon and electron-hole excitations of a metal nanoparticle. In: The Journal of Chemical Physics, 126 (23). 234701-1-234701-5.

T, Kiran and Rajan, Sundar B (2007) Partially-Coherent Distributed Space-Time Codes with Differential Encoder and Decoder. In: IEEE Journal on Selected Areas in Communication, 25 (2). pp. 426-433.

Takats, V and Vojnarovich, I and Pinzenik, V and Mojzes, I and Kokenyesi, S and Sangunni, KS (2007) Stimulated changes in $Bi(Sb)/As_2S_3$ nanolayered structures. In: Journal of Physics and Chemistry of Solids, 68 (5-6). pp. 943-947.

Takeuchi, Akira and Murty, Budaraju Srinivasa and Hasegawa, Masashi and Ranganathan, Srinivasa and Inoue, Akihisa (2007) Analysis of Bulk Metallic Glass Formation Using a Tetrahedron Composition Diagram that Consists of Constituent Classes Based on Blocks of Elements in the Periodic Table. In: Materials Transactions, 48 (6). pp. 1304-1312.

Tallapragada, Pavan K and Mohanty, Atanu K and Chatterjee, Anindya and Menon, AG (2007) Geometry optimization of axially symmetric ion traps. In: International Journal of Mass Spectrometry, 264 (1). pp. 38-52.

Talwar, Basavaraj and Venkataram, Pallapa and Patnaik, Lalit M (2007) A Method for Resource and Service Discovery in MANETs. In: Wireless Personal Communications, 41 (2). pp. 301-323.

Tandaiya, Parag and Narasimhan, R and Ramamurty, U (2007) Mode I crack tip fields in amorphous materials with application to metallic glasses. In: Acta Materialia, 55 (19). pp. 6541-6552.

Tao, CG and Cullen, WG and Williams, ED and Dasgupta, C (2007) Generalized survival in step fluctuations. In: Physical Review E - Statistical, Nonlinear and Soft Matter Physics, 76 (2).

Tekwani, PN and Gopakumar, K (2007) A Dual Five-Level Inverter-Fed Induction Motor Drive With Common-Mode Voltage Elimination and DC-Link Capacitor Voltage Balancing Using Only the Switching-State Redundancy—Part I. In: IEEE Transactions on Industrial Electronics, 54 (5). pp. 2600-2608.

Tekwani, PN and Kanchan, RS and Gopakumar, K (2007) A Dual Five-Level Inverter-Fed Induction Motor Drive With Common-Mode Voltage Elimination and DC-Link Capacitor Voltage Balancing Using Only the Switching-State Redundancy—Part II. In: IEEE Transactions on Industrial Electronics, 54 (5). pp. 2609-2617.

Tekwani, PN and Kanchan, RS and Gopakumar, K (2007) Novel Current Error Space Phasor Based Hysteresis Controller Using Parabolic Bands for Control of Switching Frequency Variations. In: IEEE Transactions on Industrial Electronics, 54 (5). pp. 2648-2656.

Tekwani, PN and Kanchan, RS and Gopakumar, K and Vezzini, A (2007) Five-Level Inverter Topology for Induction Motor Drives With Common-Mode Voltage Elimination in Complete Modulation Range. In: EPE Journal, 17 (1). pp. 11-23.

Tendulkar, SR and Saikumari, YK and Patel, V and Raghotama, S and Munshi, TK and Balaram, P and Chattoo, BB (2007) Isolation, purification and characterization of an antifungal molecule produced by Bacillus licheniformis BC98, and its effect on phytopathogen Magnaporthe grisea. In: Journal of Applied Microbiology, 103 (6). pp. 2331-2339.

Thakkar, Bhairav K and Pandey, PC (2007) A High-order Isotropic Continuum Damage Evolution Model. In: International Journal of Damage Mechanics, 16 (4). pp. 403-426.

Thakkar, Dipali and Ganguli, Ranjan (2007) Induced shear actuation of helicopter rotor blade for active twist control. In: Thin Walled Structures, 45 (1). pp. 111-121.

Thakur, Suman S and Balaram, Padmanabhan (2007) Rapid mass spectral identification of contryphans. Detection of characteristic peptide ions by fragmentation of intact disulfide-bonded peptides in crude venom. In: Rapid Communications in Mass Spectrometry, 21 (21). pp. 3420-3426.

Thangavelu, Sundaram (2007) Gutzmer's formula and Poisson integrals on the Heisenberg group. In: Pacific Journal of Mathematics, 231 (1).

Thangavelu, Raghunathan and Pradeep, S (2007) An Online Implementable Differential Evolution Tuned Optimal Guidance Law. In: Genetic And Evolutionary Computation Conference . pp. 2190-2194.

Thangavelu, S (2007) A Paley-Wiener theorem for the inverse Fourier transform on some homogeneous spaces. In: Hiroshima Mathematical Journal, 37 (2). pp. 145-159.

Thangudu, Ratna R and Sharma, Priyanka and Srinivasan, N and Offmann, Bernard (2007) Analycys: A Database for Conservation and Conformation of Disulphide Bonds in Homologous Protein Domains. In: Proteins: Structure, Function, and Bioinformatics, 67 (2). pp. 255-261.

Tharamani, CN and Mayanna, SM (2007) Low-cost black Cu–Ni alloy coatings for solar selective applications. In: Solar Energy Materials and Solar Cells, 91 (8). pp. 664-669.

Thomas, Bejoy and Prabhu, Uday R and Prathapan, S and Sugunan, S (2007) Towards a green synthesis of isoquinoline: Beckmann rearrangement of E,-cinnamaldoxime over H-zeolites. In: Microporous and Mesoporous Materials, 102 (1-3). 138 -150.

Thomas, Bejoy and Prathapan, S and Sugunan, S (2007) Dehydration of aldoximes over H-zeolites: A convenient and highly atom economic method for the preparation of nitriles. In: Chemical Engineering Journal, 133 (1-3). pp. 59-68.

Thomas, Job and Ramaswamy, Ananth (2007) Mechanical Properties of Steel Fiber-Reinforced Concrete. In: Journal of Materials in Civil Engineering, 19 (5). pp. 385-392.

Thomas, Job and Ramaswamy, Ananth (2007) Shear of prestressed concrete beams with steel fibres. In: Proceedings of ICE, Structures and Buildings, 160 (5). pp. 287-293.

Thomas, P and Sathapathy, LN and Dwarakanath, K and Varma, KBR (2007) Microwave synthesis and sintering characteristics of $CaCu_3Ti_4O_1_2$. In: Bulletin of Material Science, 30 (6). pp. 567-570.

Thukaram, D and Jenkins, L and Khincha, HP and Visakha, K and Ravikumar, B (2007) Fuzzy logic application for network contingency ranking using composite criteria. In: Engineering Intelligent Systems, 15 (4). pp. 205-212.

Thukaram, Dhadbanjan and Khincha, HP and Phaniram, MSS (2007) Robust Approach for Identification of Bad Data in State Estimation Using SLP Technique. In: International Journal of Emerging Electric Power Systems, 8 (4). pp. 1-18.

Thukaram, Dhadbanjan and Yesuratnam, G (2007) Fuzzy Expert Approach with Curtailed Controllers for Improved Reactive Power Dispatch. In: International Journal of Emerging Electric Power Systems, 8 (3). pp. 1-22.

Tina, KG and Bhadra, R and Srinivasan, N (2007) PIC: Protein Interactions Calculator. In: Nucleic Acids Research, 35 (5). pp. 473-476.

Tomar, Gaurav and Sharma, Ashutosh and Shenoy, Vijay B and Biswas, Gautam (2007) Surface instability of confined elastic bilayers: Theory and simulations. In: Physical Review E - Statistical, Nonlinear and Soft Matter Physics, 76 (1). 011607- 011614.

Tripathi, Pankaj and Pal, Debnath and Muniyappa, K (2007) Saccharomyces cerevisiae Hop1 Protein Zinc Finger Motif Binds to the Holliday Junction and Distorts the DNA Structure: Implications for Holliday Junction. In: Biochemistry, 46 (44). pp. 12530-12542.

Tripathi, SN and Srivastava, Atul K and Dey, Sagnik and Satheesh, SK and Krishnamoorthy, K (2007) The vertical profile of atmospheric heating rate of black carbon aerosols at Kanpur in northern India. In: Atmospheric Environment, 41 (32). pp. 6909-6915.

Tripathy, Mamata and Mani, Rohini and Gopalakrishnan, J (2007) New substitutions and novel derivatives of the Aurivillius phases, $Bi_5TiNbWO_{15}$ and $Bi_4Ti_3O_{12}$. In: Materials Research Bulletin, 42 (5). pp. 950-960.

Upadhyay, Anil Kumar and Simha, KRY (2007) Equivalent homogeneous variable depth beams for cracked FGM beams;Compliance approach. In: INTERNATIONAL JOURNAL OF FRACTURE, 144 (3). pp. 209-213.

Uyyuru, RK and Surappa, MK and Brusethaug, S (2007) Tribological behavior of $Al-Si/SiC_p$ composites/automobile brake pad system under dry sliding conditions. In: Tribology International, 40 (2). pp. 365-373.

Vaidya, Sachindeo (2007) The quantum sinh-Gordon model in noncommutative (1+1) dimensions. In: Physics Letters B, 655 (5-6). pp. 294-297.

Vaish, Rahul and Varma, KBR (2007) Glass transition and crystallization kinetics of $CsLiB_6O_{10}$ glasses by differential scanning calorimetry. In: Journal of Crystal Growth, 307 (2). pp. 477-482.

Vani, J and Justin, Jayakani and Nagasuma, Chandra R and Nayak, Rabindranath and Shaila, MS (2007) Peptidomimics of antigen are present in variable region of heavy and light chains of anti-idiotypic antibody and function as surrgate antigen for perpetuation of immunological memory. In: Molecular Immunology, 44 (13). pp. 3345-3354.

Vani, J and Nayak, R and Shaila, MS (2007) A CD8(+) T cell clone specific for antigen also recognizes peptidomimics present in anti-idiotypic antibody: Implications for T cell memory. In: Cellular Immunology, 246 (1). pp. 17-25.

Vani, J and Nayak, R and Shaila, MS (2007) Immunization of mice with DNA coding for the variable regions of anti-idiotypic antibody generates antigen-specific response. In: Vaccine, 25 (26). pp. 4922-4930.

Vani, J and Nayak, R and Shaila, MS (2007) Maintenance of antigen-specific immunological memory through variable regions of heavy and light chains of anti-idiotypic antibody. In: Immunology, 120 (4). pp. 486-496.

Vanimisetti, Sampath K and Narasimhan, R (2007) A numerical analysis of flexure induced cylindrical cracks during indentation of thin hard films on soft substrates. In: Thin Solid Films, 515 (6). pp. 3277-3282.

Vanishri, S and Reddy, Babu JN and Bhat, HL (2007) Irradiation effects on domain dynamics in ferroelectric glycine phosphite. In: Journal of Applied Physics, 101 (5). 054106-1-054106-5.

Vanishri, S and Reddy, JN Babu and Bhat, HL and Ghosh, S (2007) Laser damage studies in nonlinear optical crystal sodium p-nitrophenolate dihydrate. In: Applied Physics B: Lasers and Optics, 88 (3). pp. 457-461.

Varaprasad, BKSVL and Patnaik, LM and Jamadagni, HS and Agrawal, VK (2007) A New ATPG Technique (ExpoTan) for Testing Analog Circuits. In: IEEE Transactions on Computer- Aided Design of Integrated Circuits and Designs, 26 (1). pp. 189-196.

Varghese, Anitha and Kumar, Anurag (2007) Design of a TDD Multisector TDM MAC for the WiFiRe Proposal for Rural Broadband Access. In: IETE Technical Review, 24 (7, Sp.). pp. 225-242.

Varma, Hari M and Vasu, Mohan R and Nandakumaran, AK (2007) Direct reconstruction of complex refractive index distribution from boundary measurement of intensity and normal derivative of intensity. In: Journal of the Optical Society of America A, 24 (10). pp. 3089-3099.

Vasanthakumar, Ganga Ramu and Bhor, Vikrant M and Surolia, Avadhesha (2007) Hydrolysis of Cyclic Ureas under Microwave Irradiation: Synthesis and Characterization of 7,8-Diaminopelargonic Acid. In: Synthetic Communications, 36 (16). 2633 -2639.

Vashistha, Surabhi and Patil, Supriya and Joshi, Chirag and Ajitkumar, Parthasarathi (2007) Determination of growth inhibitory action point of interferon \gamma on WISH cells in cell cycle progression and the window of responsiveness of the cells to the interferon. In: Cytokine, 37 (2). pp. 108-118.

Vasu, * and Nirmala, KA and Chopra, Deepak and Mohan, S and Saravanan, J (2007) 4,5-Dimethyl-N-(2-methylphenyl)-2-$\{[(1E)-(3,4,5-trimethoxyphenyl)methylene]amino\}$thiophene-3-carboxamide. In: Acta Crystallographica Section E:Structure Reports Online, 63 (2). o958-o960.

Vasu, * and Nirmala, KA and Chopra, Deepak and Mohan, S and Saravanan, J (2007) 4,5-Dimethyl-N-(2-methylphenyl)-2-{[(1E)-(3,4,5-trimethoxyphenyl)methylene]amino}-thiophene-3-carboxamide. In: Acta Crystallographica Section E Structure Reports Online, 63 (2). pp. 958-960.

Vasudev, Prema G and Ananda, Kuppanna and Chatterjee, Sunanda and Aravinda, Subrayashastry and Shamala, Narayanaswamy and Balaram, Padmanabhan (2007) Hybrid peptide design. Hydrogen bonded conformations in peptides containing the stereochemically constrained gamma-amino acid residue, gabapentin. In: Journal of the American Chemical Society, 129 (13). pp. 4039-4048.

Vasudevan, B and Padbidri, Srikanth and Kishore, Chandra M (2007) Flow diagnostics using fibre optics. In: Sadhana: Academy Proceedings in Engineering Sciences, 32 (1-2). pp. 7-18.

Vasudevan, Hari and Srikanth, Manohar B and Muniyandi, Manivannan (2007) Rendering stiffer walls: a hybrid haptic system using continuous and discrete time feedback. In: Advanced Robotics, 21 (11). 1323 -1338.

Vaswani, Kapil and Nori, Aditya V and Chilimbi, Trishul M (2007) Preferential Path Profiling: Compactly Numbering Interesting Paths. In: ACM Sigplan Notices, 42 (1). pp. 351-362.

Veerapur, Ravindra S and Gudasi, KB and Sairam, M and Shenoy, RV and Netaji, M and Raju, KVSN and Sreedhar, B and Aminabhavi, TM (2007) Novel sodium alginate composite membranes prepared by incorporating cobalt(III) complex particles used in pervaporation separation of water–acetic acid mixtures at different temperatures. In: Journal of Materials Science, 42 (12). pp. 4406-4417.

Venkataiah, G and Kalyana, Lakshmi Y and Prasad, V and Reddy, PV (2007) Influence of particle size on electrical transport properties of $La_{0.67}Sr_{0.33}MnO_3$ manganite system. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 2000-2004.

Venkataiah, G and Prasad, V and Reddy, Venugopal P (2007) Anomalous variation of magnetoresistance in $Nd_{0.67-y}Eu_ySr_{0.33}Mn_{03}$ manganites. In: Solid State Communications, 141 (2). pp. 73-78.

Venkataiah, G and Prasad, V and Reddy, Venugopal P. (2007) Influence of A-site cation mismatch on structural, magnetic and electrical properties of lanthanum manganites. In: Journal of Alloys and Compounds, 429 (1-2). pp. 1-9.

Venkatakrishnan, Thengarai S and Mandal, Swadhin K and Kannan, Raghuraman and Krishnamurthy, Setharampattu S and Nethaji, Munirathinam (2007) Organometallic chemistry of diphosphazanes. Part 26. Ruthenium hydride complexes of chiral and achiral diphosphazane ligands and asymmetric transfer hydrogenation reactions. In: Journal of Organometallic Chemistry, 692 (10). pp. 1875-1891.

Venkatakrishnan, Thengarai S and Rajamani, Raghunathan and Ramasesha, S and Sutter, Jean-Pascal (2007) Synthesis, Crystal Structure, and Magnetic Properties of Hexanuclear $[\{MnL_2\}_4\{Nb(CN)_8\}_2]$ and Nonanuclear $[\{MnL_2\}_6\{Nb(CN)_8\}_3]$ Heterometallic Clusters (L = bpy, phen). In: Inorganic Chemistry, 46 (23). pp. 9569-9574.

Venkataraman, V and Kumar, N and Natarajan, Vasant (2007) Venkataraman, Natarajan, and Kumar Reply. In: Physical Review Letters, 98 (18). pp. 189803-1.

Venkatesh, YV and Raja, SK and Kumar, AJ (2007) On the Application of a Modified Self-Organizing Neural Network to Estimate Stereo Disparity. In: IEEE Transactions on Image Processing, 16 (11). pp. 1057-7149.

Venugopal, BR and Shivakumara, C and Rajamathi, Michael (2007) A composite of layered double hydroxides obtained through random costacking of layers from Mg-Al and Co-Al LDHs by delamination-restacking: Thermal decomposition and reconstruction behavior. In: Solid State Sciences, 9 (3-4). pp. 287-294.

Venugopala, KN and Girija, CR and Chopra, Deepak and Begum, Noor Shahina and Rao, GK (2007) (2Z,3E)-2,3-Bis(2-thienylmethylene)-succinic acid methanol hemisolvate. In: Acta Crystallographica Section E: Structure Reports, 63 (9). o3722.

Venukumar, Balla and Reddy, KPJ (2007) Experimental investigation of drag reduction by forward facing high speed gas jet for a large angle blunt cone at Mach 8. In: Sadhana: Academy Proceedings in Engineering Sciences, 32 (1-2). pp. 123-131.

Verkhedkar, K and Raman, K and Chandra, Nagasuma R and Vishveshwara, Saraswathi (2007) Metabolome based reaction graphs of M.tuberculosis and M.leprae: A comparative network analysis. In: PLOS ONE, 2 (9).

Vidya, TNC and Varma, Surendra and Dang, Nguyen Xuan and Thanh, Van T (2007) Minimum population size, genetic diversity, and social structure of the Asian elephant in Cat Tien National Park and its adjoining areas, Vietnam, based on molecular genetic analyses. In: Conservation Genetics, 8 (6). pp. 1471-1478.

Vidyunmala, V and Nanjundiah, RS and Srinivasan, J (2007) The effect of variation in sea-surface temperature and its meridional gradient on the equatorial and off-equatorial ITCZ in an Aquaplanet General Circulation model. In: Meteorology and Atmospheric Physics, 95 (3-4). pp. 239-253.

Vignesh, PS and Mitra, Mira and Gopalakrishnan, S (2007) Nano-composite insert in 1D waveguides for control of elastic power flow. In: Nanotechnology, 18 (3). 035706:1-10.

Vijayalakshmi, L and Krishna, R and Sankaranarayanan, R and Vijayan, M (2007) An asymmetric dimer of \beta-lactoglobulin in a low humidity crystal form - Structural changes that accompany partial dehydration and protein action. In: Proteins: Structure, Function and Bioinformatics, 71 (1). 241- 249.

Vijayan, M (2007) Peanut lectin crystallography and macromolecular structural studies in India. In: Journal of Biosciences, 32 (6). pp. 1059-1066.

Vijayanandhini, K and Kutty, TRN (2007) Calcium zinc manganites, $Ca_4Mn_7Zn_3O_{21 -\delta} (0.5<\delta <2.5)$ with beta-alumina or magnetoplumbite-type structure and their nonlinear electrical transport and magnetic properties. In: Materials Letters, 61 (17). pp. 3652-3657.

Vijayanandhini, K and Kutty, TRN (2007) Effects of Zn substitution on the magnetic and transport properties of $La_{0.6}Sr_{0.4}Mn_{1-y}Zn_yO_{3-\delta}(0\leq y\leq 0.3)$. In: Solid State Communications, 141 (5). pp. 252-257.

Vimaleswaran, KS and Radha, V and Anjana, M and Deepa, R and Ghosh, S and Majumder, PP and Rao, MRS and Mohan, V (2007) Thr394Thr polymorphism of PPARGC1A gene is associated with Type 2 diabetes and total body fat in Asian Indians. In: International Journal of Obesity, 31 (3). p. 563.

Vinayachandran, PN and Kurian, Jaison and Neema, CP (2007) Indian Ocean response to anomalous conditions in 2006. In: Geophysical Research Letters, 34 (15).

Vinayachandran, PN and Kurian, Jaison (2007) Hydrographic observations and model simulation of the Bay of Bengal freshwater plume. In: Deep Sea Research Part I: Oceanographic Research Papers, 54 (4). pp. 471-486.

Vinayachandran, PN and Kurian, Jalson (2007) Hydrographic observations and model simulation of the Bay of Bengal freshwater plume. In: Deep Sea Research Part I: Oceanographic Research Papers, 54 (4). pp. 471-486.

Vinayachandran, PN and Shankar, D and Kurian, J and Durand, F and Shenoi, SSC (2007) Arabian Sea mini warm pool and the monsoon onset vortex. In: Current Science, 93 (2). pp. 203-214.

Vinod, KG and Gopalakrishnan, S and Ganguli, Ranjan (2007) Free vibration and wave propagation analysis of uniform and tapered rotating beams using spectrally formulated finite elements. In: International Journal of Solids and Structures, 44 (18-19). pp. 5875-5893.

Vinoj, V and Anjan, Anjit and Sudhakar, M and Satheesh, SK and Srinivasan, J and Moorthy, Krishna K (2007) Latitudinal variation of aerosol optical depths from northern Arabian Sea to Antarctica. In: Geographical research letters, 34 (L10807). pp. 1-5.

Vishveshwara, Smitha and Stone, Michael and Sen, Diptiman (2007) Correlators and Fractional Statistics in the Quantum Hall Bulk. In: Physical Review Letters, 99 (19). p. 190401.

Vishwakarma, PN and Subramanyam, SV (2007) Metal–insulator transition in boron-doped amorphous carbon films. In: Philosophical Magazine, 87 (6). pp. 811-821.

Viswamurthy, SR and Ganguli, Ranjan (2007) Modeling and compensation of piezoceramic actuator hysteresis for helicopter vibration control. In: Sensors and Actuators A: Physical, 135 (2). pp. 801-810.

Viswamurthy, SR and Rao, AK and Ganguli, R (2007) Dynamic hysteresis of piezoceramic stack actuators used in helicopter vibration control: experiments and simulations. In: Smart Materials and Structures, 16 (4). pp. 1109-1119.

Viswanath, Asha and Roy, D (2007) Multi-step transversal and tangential linearization methods applied to a class of nonlinear beam equations. In: International Journal of Solids and Structures, 44 (14-15). pp. 4872-4891.

Viswanath, B and Raghavan, R and Ramamurty, U and Ravishankar, N (2007) Mechanical properties and anisotropy in hydroxyapatite single crystals. In: Scripta Materialia, 57 (4). pp. 361-364.

Viswanath, B and Ravishankar, N (2007) Porous biphasic scaffolds and coatings for biomedical applications via morphology transition of nanorods. In: Nanotechnology, 18 (47). 475604-1-475604-6.

Viswanatha, R and Sapra, S and Amenitsch, H and Sartori, B and Sarma, DD (2007) Growth of semiconducting nanocrystals of CdS and ZnS. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 1726-1729.

Viswanatha, Ranjani and Amenitsch, Heinz and Sarma, DD (2007) Growth Kinetics of ZnO Nanocrystals: A Few Surprises. In: Journal of the American Chemical Society, 129 (14). pp. 4470-4475.

Viswanatha, Ranjani and Santra, Pralay K and Dasgupta, Chandan and Sarma, DD (2007) Growth Mechanism of Nanocrystals in Solution: ZnO, a Case Study. In: Physics Review Letters, 98 (25). 255501-1-255501-4.

Voggu, Rakesh and Suguna, Perumal and Chandrasekaran, Srinivasan and Rao, CNR (2007) Assembling covalently linked nanocrystals and nanotubes through click chemistry. In: Chemical Physics Letters, 443 (1-3). pp. 118-121.

Vouillamoz, JM and Chatenoux, B and Mathieu, F and Baltassat, JM and Legchenko, A (2007) Efficiency of joint use of MRS and VES to characterize coastal aquifer in Myanmar. In: Journal of Applied Geophysics, 61 (2). pp. 142-154.

Waseda, Y and Chen, HS and Jacob, KT and Shibata, H (2007) Relation between Local Structure and Glass Forming Ability of Liquid Alloys. In: High Temperature Materials and Processes, 26 (5-6). pp. 403-416.

Xavier, Prince K and Marzin, Charline and Goswami, BN (2007) An objective definition of the Indian summer monsoon season and a new perspective on the ENSO–monsoon relationship. In: Quarterly Journal of the Royal Meteorological Society, 133 (624). pp. 749-764.

Yadav, Shri Ram and Prasad, Kalika and Vijayraghavan, Usha (2007) Divergent Regulatory OsMADS2 Functions Control Size, Shape and Differentiation of the Highly Derived Rice Floret Second-Whorl Organ. In: Genetics, 176 . pp. 283-294.

Yadav, V and Padhi, R and Balakrishnan, SN (2007) Robust/Optimal Temperature Profile Control of a High-Speed Aerospace Vehicle Using Neural Networks. In: IEEE Transactions on Neural Networks, 18 (4). 1115-1128 .

Yashonath, S and Ghorai, Pradip Kr (2007) Diffusion in nanoporous phases: Size dependence and levitation effect. In: Journal of Physical Chemistry B, 112 (3). pp. 665-686.

Yesuratnam, G and Thukaram, D (2007) Congestion management in open access based on relative electrical distances using voltage stability criteria. In: Electric Power Systems Research, 77 (12). pp. 1608-1618.

Yesuratnam, G and Thukaram, D (2007) Optimum Reactive Power Dispatch and Identification of Critical On-load Tap Changing (OLTC) Transformers. In: Electric Power Components and Systems, 35 (6). pp. 655-674.

Zaidi, Faisal K and Ahmel, Shakeel and Dewandel, Benoit and Jean-Christophe, Marechal (2007) Optimizing a piezometric network in the estimation of the groundwater budget: a case study from a crystalline-rock watershed in southern India. In: Hydrogeology Journal, 15 (6). pp. 1131-1145.

Editorials/Short Communications

Ananthanarayan, B and Choudhary, Keshav and Mohapatra, Lishibanya and Patil, Indrajeet and Rustagi, Avinash and Shivaraj, K (2007) Observation of exotic heavy baryons. In: Current Science (Bangalore), 93 (4). 451 -452.

Ananthanarayan, B and Choudhary, Keshav and Mohapatra, Lishibanya and Patil, Indrajeet and Rustagi, Avinash and Shivaraj, K (2007) Observation of oscillation phenomena in heavy meson systems. In: Current Science, 93 (5). pp. 602-604.

Ananthanarayan, B and Garg, Kshitij (2007) Quantum mechanical entanglement and tests of CPT theorem with neutral mesons at e(+) e(-) colliders. In: Current Science (Bangalore), 93 (8). 1055 -1057.

Ananthanarayan, B and Garg, Kshitij (2007) Quantum mechanical entanglement and tests of CPT theorem with neutral mesons at e(+) e(-) colliders. In: Current Science (Bangalore), 93 (8). pp. 1055-1057.

Chatterji, Dipankar (2007) Embarrassment of the riches. In: Current Science, 93 (2). pp. 130-131.

Crampton, Neal and Roes, Stefanie and Rao, Desirazu N and Edwardson, Michael and Dryden, David and Henderson, Robert M (2007) AFM imaging reveals that the architecture of DNA loops produced by. In: Biophysical Journal . 184A-184A.

Ghosh, Mrinal K (2007) Shyam Lal Yadava (1953–2007). In: Current Science, 93 (10). p. 1448.

Godbole, Rohini M (2007) Closing remarks. In: Pramana: Journal of Physics, 69 (6). pp. 1215-1219.

Godbole, Rohini M and Gurtu, Atu (2007) Proceedings of the Linear Collider Workshop (LCWS06) - Part I -Indian Institute of Science, Bangalore - 9-13 March 2006 -Foreword. In: Pramana - Journal of Physics, 69 (5). pp. 693-695.

Jacob, KT and Subramanian, R (2007) Thermodynamic assessment of phase relations in the system PbO-RuO2-TiO2. In: Journal of Materials Science, 42 (7). pp. 2521-2523.

Mehta, Bodh Raj and Sood, AK (2007) A Special Issue - International Conference on Nano Science and Technology, 16-18 March 2006 (ICONSAT 2006), New Delhi, India. In: Journal of Nanoscience and Nanotechnology, 7 (6). pp. 1687-1688.

Muralidharan, Pooja (2007) Orchids practice deception. In: Current Science, 93 (5). pp. 604-605.

Ramasarma, T (2007) A view of the history of biochemistry in India. In: Current Science, 92 (8). pp. 1169-1172.

Sangunni, KS (2007) Proceedings of the 15th International Symposium on Non-Oxide Glasses and New Optical Glasses -Preface. In: Journal of Non-Crystalline Solids, 353 (13-15). VII-VIII.

Scholz, P and Mugesh, G and Ambrugger, P and Schmutzler, C and Kuehrle, J (2007) Effects of sulfur- and selenium-containing derivatives of MMI and PTU on type I 5 '-deiodinase and thyroperoxidase. In: Hormone Research, 68 . 57 -58.

Sundararajan, S and Shevade, Shirish and Keerthi, Sathiya S (2007) Fast Generalized Cross-Validation Algorithm for Sparse Model Learning. In: Neural Computation, 19 (1). pp. 283-301.

Patent

Unnikrishnan, KP and Shadid, Basel Q and Sastry, PS and Laxman, Srivatsan (2007) Root cause diagnostics using temporal data mining. Patent Number(s) US 7509234. Patent Assignee(s) GM Global Technology Operations, Inc..

Preprint

Arun, KR and Prasad, Phoolan (2007) 3-D Kinematical Conservation Laws (KCL): Equations of Evolution of a Surface. [Preprint]

This list was generated on Thu Apr 25 15:30:20 2024 IST.