ePrints@IIScePrints@IISc Home | About | Browse | Latest Additions | Advanced Search | Contact | Help

Browse by Author

Up a level
Export as [feed] Atom [feed] RSS 1.0 [feed] RSS 2.0
Group by: Item Type | No Grouping
Number of items: 77.

Venkatakeerthy, S and Aggarwal, R and Jain, S and Desarkar, MS and Upadrasta, R and Srikant, YN (2020) IR2Vec: LLVM IR Based Scalable Program Embeddings. In: ACM Transactions on Architecture and Code Optimization, 17 (4).

Upadhyay, N and Patel, P and Cheramangalath, U and Srikant, YN (2018) Large scale graph processing in a distributed environment. In: International Workshops on Parallel Processing, Euro-Par 2017, 28 -29 August 2017, Santiago de Compostela, pp. 465-477.

Cheramangalath, Unnikrishnan and Nasre, Rupesh and Srikant, YN (2017) DH-Falcon: A language for large-scale graph processing on Distributed Heterogeneous systems. In: IEEE International Conference on Cluster Computing (CLUSTER), SEP 05-08, 2017, Honolulu, HI, pp. 439-450.

Unnikrishnan, C and Nasre, Rupesh and Srikant, YN (2016) Falcon: A Graph Manipulation Language for Heterogeneous Systems. In: ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION, 12 (4).

Nagar, Kartik and Srikant, YN (2016) Fast and Precise Worst-Case Interference Placement for Shared Cache Analysis. In: ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS, 15 (3).

Nagar, Kartik and Srikant, YN (2015) Path Sensitive Cache Analysis Using Cache Miss Paths. In: 16th International Conference on Verification, Model Checking, and Abstract Interpretation (VMCAI), JAN 12-14, 2015, Mumbai, INDIA, pp. 43-60.

Nagpal, Rahul and Srikant, YN (2012) Compiler-assisted energy optimization for clustered VLIW processors. In: JOURNAL OF PARALLEL AND DISTRIBUTED COMPUTING, 72 (8). pp. 944-959.

Dani, Aparna Mandke and Srikant, YN and Amrutur, Bharadwaj (2012) Efficient Cache Exploration Method for a Tiled Chip Multiprocessor. In: 19th International Conference on High Performance Computing (HiPC), DEC 18-22, 2012, Pune, INDIA.

Ravindar, Archana and Srikant, YN (2012) Estimation of Probabilistic Bounds on Phase CPI and Relevance in WCET Analysis. In: 10th ACM International Conference on Embedded Software (EMSOFT), 2012, Tampere, FINLAND, pp. 165-174.

Nagar, Kartik and Srikant, YN (2012) Interdependent cache analyses for better precision and safety. In: MEMOCODE 2012 : ACM/IEEE Tenth International Conference on Formal Methods and Models for Codesign, July 16-18, 2012, Arlington, Virginia.

Dani, AM and Amrutur, B and Srikant, YN and Bhattacharyya, C (2012) TCP: thread contention predictor for parallel programs. In: 2012 20th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP), 15-17 Feb. 2012, Garching.

Dani, Aparna Mandke and Amrutur, Bharadwaj and Srikant, YN (2012) Toward a Scalable Working Set Size Estimation Method and Its Application for Chip Multiprocessors. In: IEEE TRANSACTIONS ON COMPUTERS, 63 (6). pp. 1566-1578.

Dani , Aparna Mandke and Amrutur, Bharadwaj and Srikant, YN (2011) Applying Genetic Algorithms to Optimize Power in Tiled SNUCA Chip Multicore Architectures. In: Symposium on Applied Computing , March 21-25 2011, Taichung, Taiwan.

Dani, AM and Amrutur, Bharadwaj S and Srikant, YN (2011) Adaptive power optimization of on-chip SNUCA cache on tiled chip multicore architecture using remap policy. In: 2011 Second Workshop on Architecture and Multi-Core Applications (WAMCA), 26-27 Oct. 2011, Vitoria, Espirito Santo.

Rangasamy, Arun and Srikant, YN (2011) Evaluation of dynamic voltage and frequency scaling for stream programs. In: CF '11 Proceedings of the 8th ACM International Conference on Computing Frontiers, 2011, New York, NY, USA.

Ravindar, Archan and Srikant, YN (2011) Implications of program phase behavior on timing analysis. In: 2011 15th Workshop on Interaction between Compilers and Computer Architectures (INTERACT), 12-12 Feb. 2011, San Antonio, TX.

Rangasamy, Arun and Srikant, YN (2011) Petri net based performance modeling for effective DVFS for multithreaded programs. In: SAC '11 Proceedings of the 2011 ACM Symposium on Applied Computing, 2011, New York, NY, USA.

Ravindar, Archana and Srikant, YN (2011) Relative roles of instruction count and cycles per instruction in WCET estimation. In: ICPE '11 Proceedings of the second joint WOSP/SIPEW International conference on Performance Engineering, 2011, New York, NY, USA.

Dani, Aparna Mandke and Varadarajan, Keshavan and Amrutur, Bharadwaj and Srikant, YN (2010) Accelerating multi-core simulators. In: ACM Symposium on Applied Computing, Mar.2010, New York, NY.

Dani , Aparna Mandke and Amrutur, Bharadwaj and Srikant, YN (2010) Applying Genetic Algorithms to Optimize Power in Tiled SNUCA Chip Multicore Architectures. UNSPECIFIED.

Roy, Subhajit and Srikant, YN (2009) Profiling k-Iteration Paths : A Generalization of the Ball-Larus Profiling Algorithm. In: 7th International Symposium on Code Generation and Optimization, MAR 22-25, 2009, Seattle, WA, pp. 70-80.

Rangasamy, Arun and Nagpal, Rahul and Srikant, YN (2008) Compiler-Directed Frequency and Voltage Scaling for a Multiple Clock Domain. In: Proceedings of the 2008 conference on Computing frontiers CF 08 (2008) .

Roy, Subhajit and Srikant, YN (2008) Improving Flow-Insensitive Solutions for Non-Separable Dataflow Problems. In: Proceedings of the 2008 ACM symposium on Applied computing . pp. 211-216.

Nagpal, Rahul and Srikant, YN (2007) Register File Energy Optimization for Snooping Based Clustered VLIW Architectures. In: 19th International Symposium on Computer Architecture and High Performance Computing, 24-27 Oct. 2007 , Rio Grande do Sul .

Nagpal, Rahul and Madan, Arvind and Bhardwaj, Amrutur and Srikant, YN (2007) INTACTE: An Interconnect Area, Delay, and Energy Estimation Tool for Microarchitectural Explorations. In: ACM CASES, October 2007.

Sen, Rathijit and Srikant, YN (2007) WCET Estimation for Executables in the Presence of Data Caches. In: EMSOFT '07 Proceedings of the 7th ACM & IEEE international conference on Embedded software, October 2007, New York, NY.

Sen, Rathijit and Srikant, YN (2007) Executable Analysis using Abstract Interpretation with Circular Linear Progressions. In: 5th IEEE/ACM International Conference on Formal Methods and Models for Codesign, 2007. MEMOCODE 2007., May 30 2007-June 2 2007 , Nice.

Nagpal, Rahul and Srikant, YN (2007) Compiler-assisted instruction decoder energy optimization for clustered VLIW architectures. In: 14th International Conference on High Performance Computing (HiPC 2007), DEC 18-21, 2007, Goa.

Vaswani, Kapil and Thazhuthaveetil, Matthew J and Srikant, YN and Joseph, PJ (2007) Microarchitecture sensitive empirical models for compiler optimizations. In: 5th International Symposium on Code Generation and Optimization, MAR 11-14, 2007, San Jose, CA.

Roy, Subhajit and Srikant, YN (2007) Partial Flow Sensitivity. In: HiPC2007, Dec. 2007.

Nagpal, Rahul and Srikant, YN (2007) Pragmatic integrated scheduling for clustered VLIW architectures. In: Software: Practice and Experience, 38 (3). pp. 227-257.

Chakrabarti, Sujit Kumar and Srikant, YN (2006) Specification Based Regression Testing Using Explicit State Space Enumeration. In: International Conference on Software Engineering Advances, Oct. 2006 , Tahiti.

Nagpal, Rahul and Srikant, YN (2006) Compiler Assisted Leakage Energy Optimization for Clustered VLIW Architectures. In: EMSOFT '06 Proceedings of the 6th ACM & IEEE International conference on Embedded software, 2006, New York, NY.

Nagpal, Rahul and Srikant, YN (2006) Exploring energy-performance trade-offs for heterogeneous interconnect clustered VLIW processors. In: 13th International Conference on High Performance Computing (HiPC 2006),, Dec 18-21, 2006, Bangalore, India, pp. 497-508.

Roy, Subhajit and Srikant, YN (2006) Slice Switching: A Technique for Software Watermarking. In: Workshop on New Horizons in Compilers, International Conference on High Performance Computing, , December 2006, Bangalore (India).

Anand, Sunil Kumar and Srikant, YN (2005) Genetic Algorithm based Automatic Data Partitioning Scheme for HPF. In: 14th IEEE International Symposium on High Performance Distributed Computing, HPDC-14, 24-27 July 2005, Research Triangle Park, North Carolina, USA, pp. 289-290.

Vaswani, Kapil and Thazhuthaveetil, Matthew J. and Srikant, YN (2005) A Programmable Hardware Path Profiler. In: International Symposium on Code Generation and Optimization, 2005. CGO 2005, 20-23 March, California, 217 -228.

Nagpal, Rahul and Srikant, YN (2004) A Graph Matching Based Integrated Scheduling Framework for Clustered VLIW Processors. In: 2004 International Conference on Parallel Processing Workshops. ICPP 2004, 15-18 August, Quebec,Canada, pp. 530-537.

Kumar, Bharath M and Srikant, YN (2004) The Best Nurturers in Computer Science Research. IISc-CSA-TR-2004-10.

Vardhan, Ananda K and Srikant, YN (2004) Transition Aware Scheduling: Increasing Continuous Idle Periods in Resource Units. IISc-CSA-TR-2004-12.

Mullick, Amarnath and Srikant, YN (2004) SWuS: Software Watermark using Slices. IISc-CSA-TR-2004-7.

Vaswani, Kapil and Jacob, Matthew T and Srikant, YN (2004) Representing, Detecting, and Profiling Paths in Hardware. IISc-CSA-TR-2004-2.

Nagpal, Rahul and Srikant, YN (2004) Integrated Temporal and Spatial Scheduling for Extended Operand Clustered VLIW Processors. In: Proceedings ACM Computing Frontiers 2004, 14-16 April, 2004, Ischia, Italy. (In Press)

Vaswani, K and Srikant, YN (2003) Dynamic recompilation and profile-guided optimisations for a .NET JIT compiler. In: IEE Proceedings-Software, 150 (5). pp. 296-302.

Vaswani, Kapil and Srikant, YN (2003) Dynamic Recompilation and Profile-Guided Optimizations for a .NET JIT Compiler. In: IEE Software 2004 . (In Press)

Vaswani, Kapil and Srikant, YN and Thazhuthaveetil, Matthew J (2003) Architectural Support for Online Program Instrumentation. UNSPECIFIED.

Paleri, VK and Srikant, YN and Shankar, P (2003) Partial redundancy elimination: a simple, pragmatic, and provably correct algorithm. In: Science of Computer Programming, 48 (1). pp. 1-20.

Prakash, J and Sandeep, C and Shankar, P and Srikant, YN (2003) A simple and fast scheme for code compression for VLIW processors. In: Proceedings. DCC 2003 Data Compression Conference, 2003. , 25-27 March 2003.

Venugopal, R and Srikant, YN (2002) Scheduling expression trees for delayed-load architectures. In: Journal of Systems Architecture, 48 (4-5). 151-173 .

Kumar, Bharath M and Srikant, YN and Lakshminarayanan, R (2002) On the use of connector libraries in distributed software architectures. In: Software Engineering Notes, 27 (1). pp. 45-52.

Ravindra, DV and Srikant, YN (2002) Improved preprocessing methods for modulo scheduling algorithms. In: Lecture Notes in Computer Science, 2552 . pp. 485-494.

Srikant, YN and Ravindra, DV (2000) Effective Parameterization of Architectural Registers for Register Allocation Algorithms. In: ACM Sigplan Notices, 35 (06). pp. 37-46.

Kumar, Bharath M and Lakshminarayanan, R and Srikant, YN (2000) A Study of Automatic Migration of Programs Across the Java Event Models. In: ACM SIGSOFT Software Engineering Notes, 25 (3). pp. 24-29.

Prakash, SR and Srikant, YN (1999) Hyperplane Partitioning : An Approach to Global Data Partitioning for Distributed Memory Machines. In: 13th International and 10th Symposium on Parallel and Distributed Processing 1999. IPPS/SPDP 1999, 12-16 April, San Juan,Puerto Rico, pp. 744-748.

Venugopal, R and Srikant, YN (1999) The complexity of certain incremental code generation problems. In: International Journal of Computer Mathematics, 71 (4). pp. 447-458.

Paleri, Vineeth Kumar and Srikant, YN and Shankar, Priti (1998) A simple algorithm for partial redundancy elimination. In: ACM Sigplan Notices, 33 (12). pp. 35-43.

Venugopal, R and Srikant, YN (1998) An incremental basic block instruction scheduler. In: Journal of Systems Architecture, 45 (3). pp. 179-203.

Prakash, SR and Srikant, YN (1997) Communication Cost Estimation and Global Data Partitioning for Distributed Memory Machines. In: Fourth International Conference on High Performance Computing, 1997, 18-21 December, Bangalore,India, 480 -485.

Venugopal, R and Srikant, YN (1995) Scheduling expression trees with reusable registers on delayed-load architectures. In: Computer Languages, 21 (1). pp. 49-65.

Venugopal, R and Srikant, YN (1994) Scheduling expression trees with register variables on delayed-load architectures. In: Microprocessing & Microprogramming, 40 (8). pp. 577-596.

Viswanathan, N and Srikant, YN (1994) Parallel Incremental LR Parsing. In: Computer Languages, 20 (3). pp. 151-175.

Kulkarni, RK and Srikant, YN (1994) C2G2: a Complete Code Generator Generator. In: Computer Systems and Education. Proceedings of the International Conference on Computer Systems and Education in Honour of Prof. V. Rajaraman, 22-25 June 1994, Bangalore, India, pp. 47-57.

Venugopal, R and Srikant, YN (1993) Heuristic chaining in directed acyclic graphs. In: Computer Languages, 19 (3). pp. 169-184.

Shekhar, KH and Srikant, YN (1993) LINDA sub system on transputers. In: Computer Languages, 18 (2). pp. 125-136.

Dave, Maulik A and Srikant, YN (1991) A parallelizing compiler for Pascal. In: Journal of the Indian Institute of Science, 71 (2). pp. 125-158.

Viswanathan, N and Srikant, YN (1991) Parallel attribute evaluation. In: Supercomputing Symposium '91. Symposium Proceedings, 3-5 June 1991, Fredericton, NB, Canada, pp. 529-540.

Jasmeer, KA and Srikant, YN and Chidambara, MR (1991) A graphic simulation environment for robotics applications. In: Proceedings of the SPIE - The International Society for Optical Engineering, 2-5 Jan. 1991, Bangalore, India, pp. 654-665.

Srikant, YN (1990) Parallel Parsing of Arithmetic Expressions. In: IEEE Transactions on Computers, 39 (1). pp. 130-132.

Murching, Arvind M and Prasad, YV and Srikant, YN (1990) Incremental recursive descent parsing. In: Computer Languages, 15 (4). pp. 193-204.

Srikant, YN (1990) A parallel algorithm for the minimization of finite state automata. In: International Journal of Computer Mathematics, 32 (2). pp. 1-11.

Gibbons, AN and Srikant, YN (1989) A class of problems efficiently solvable on mesh-connected computers including dynamic expression evaluation. In: Information Processing Letters, 32 (6). pp. 305-311.

Arvind, Murching M and Srikant, YN (1989) Incremental attribute evaluation through recursive procedures. In: Computer Languages, 14 (4). pp. 225-237.

Haripriyan, HK and Srikant, YN and Shankar, Priti (1988) A compiler writing system based on affix grammars. In: Computer Languages, 13 (1). pp. 1-11.

Srikant, YN and Shankar, Priti (1987) Parallel parsing of programming languages. In: Information Sciences, 43 (1-2). pp. 55-83.

Srikant, YN and Shankar, Priti (1987) A new parallel algorithm for parsing arithmetic infix expressions. In: Parallel Computing, 4 (3). 291 -304.

Kumar, MP Subodh and Srikant, YN (1986) Graphical simulation of Petri Nets. In: Computers & Graphics, 10 (3). 225 -228.

Srikant, YN and Vidyasagar, D and Patnaik, LM (1982) An interactive graphics system for 2-D drawing and design. In: Computers & Graphics, 6 (1). pp. 23-27.

This list was generated on Mon May 6 21:37:45 2024 IST.